揭祕晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛


揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

晶圓代工有著高資本壁壘和技術壁壘,行業十多年沒有新的競爭者出現且越來越多現有玩家放棄先進製程追趕。根據 gartner 預測, 2019 年全球晶圓代工市場約 627 億美元,佔全球半導體市場約 15%。預計 2018~2023 年晶圓代工市場複合增速為 4.9%。2019 年中國大陸晶圓代工市場約 2149 億元, 中國大陸集成電路產業結構將繼續由“小設計-小製造-大封測”向“大設計-中製造-中封測”轉型,產業結構更趨於合理。

本期的智能內參,我們推薦國盛證券的研究報告《製造業的桂冠, 製程追趕者的黎明》,詳解晶圓代工產業,測算晶圓代工的國產替代空間。如果想收藏本文的報告(製造業的桂冠, 製程追趕者的黎明),可以在智東西頭條號私信回覆關鍵詞“智東西438”獲取。

《製造業的桂冠, 製程追趕者的黎明》

一、先進製程比重不斷提升

1、 晶圓代工市場保持增長

根據gartner預測, 2019年全球晶圓代工市場約627億美元,佔全球半導體市場約15%。預計 2018~2023 年晶圓代工市場複合增速為 4.9%。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲晶圓代工市場佔半導體市場約 15%

開創專業分工模式,晶圓代工廠在半導體產業鏈中越來越重要。 臺積電開創了晶圓代工+IC 設計的模式。 隨著半導體制造規模效應的凸顯,以及技術和資金壁壘的提升, IDM模式下的廠商擴張難度加大,沉沒成本提高。 目前垂直分工模式成為了行業的發展趨勢,半導體新進入者大多采用 Fabless 模式,同時有更多的 IDM 公司如 AMD、 NXP、 TI等都將走向 Fabless 或 Fablite 模式。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲晶圓代工創造半導體行業分工模式

在晶圓代工的支持下, IC 設計廠迅速崛起。 根據 IC Insight 數據, 2009~2019 年 IC 設計行業的收入複合增速為 8%, IDM 行業的收入複合增速為 5%。 IC 設計的繁榮興起與先進製程的資本、技術密度提升,使得以臺積電為代表的晶圓代工廠( Foundry)在半導體產業鏈中扮演越來越重要的角色。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲IC 設計廠與 IDM 的半導體業務收入(十億美元)

2020 年晶圓代工市場重返增長, 0.016micron、 0.032micron 為當前收入佔比最高的節點。 根據 Gartner, 2019 年全球晶圓代工收入 627 億美元,增速為-0.2%。預計 2020年增速回到 8%。結構上,收入貢獻最大的為 0.016micron( 12/14/16nm),達到 97 億美元;其次為 0.032micron( 22/28/32nm),達到 86 億美元。 10nm 預計 26 億美元,7nm 預計 85 億美元。 臺積電 2019 年收入為 346 億美元,佔比達 55%。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球晶圓代工行業收入(億美元)

根據 Gartner, 從產能分佈角度而言, 2019 年全球晶圓代工等效 8 寸片年產能為 7838萬片,其中 0.18micro 達到 1363 萬片,其次 65nm 達到 982 萬片, 45nm 達到 882 萬片, 32nm 達到 80 萬片。 根據臺積電財報,臺積電 2019 年等效 8 寸片產能超過 2700萬片, 佔比約 34%。 根據拓璞產業研究, 2019 年, 28nm 以下製程的營收在前五大廠商(臺積電、三星、格芯、聯電、中芯國際) 在的合計營收中佔比約 44%。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球晶圓代工行業產能( 等價 8 寸片;千片)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲2019 年全球晶圓代工行業收入分佈

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲2019 年全球晶圓代工行業產能分佈

先進製程比重快速提升。 根據 ASML 在 2018 年底的預測,先進製程的佔比會迅速提高,其中部分現有製程的產線通過設備升級成先進製程產線。 ASML 預測 2025 年 12 寸晶圓的先進製程佔比會達到 2/3。

全球晶圓代工市場以晶圓廠所在地劃分,全球晶圓代工前三大區域分別為中國臺灣、中國大陸、韓國。 臺灣佔比達到 66%左右,並在先進製程導入和新型產業趨勢下引領行業發展。大陸處於追趕角色,比重正在持續提升,從 2017 年的 9.0%提升至 2023 年的12.9%。韓國三星持續加大投資,因此韓國的份額也保持略有增長。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球晶圓代工區域佔比( 2019~2023 年為預測數據)

2019 年中國大陸晶圓代工市場約 2149 億元,大陸集成電路向“大設計–中製造–中封測”轉型, 大陸的設計、 製造將起航。 2018 年中國大陸集成電路產業繼續保持快速增長,規模達到 6531.4 億元,同比增長 20.7%,預計到 2020 年突破 9000 億。中國大陸集成電路產業結構將繼續由“小設計–小製造–大封測”向“大設計–中製造–中封測”轉型,產業鏈逐漸從低端向高端延伸,產業結構更趨於合理。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲中國大陸集成電路市場規模(億元)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲中國大陸集成電路市場結構(億元)

2、 12 寸硅晶圓保持快速增長

長期維度下電子化趨勢推進,硅含量不斷提升。 半導體硅含量代表電子系統中半導體集成電路芯片總價值佔電子系統價值的百分比,可用來衡量半導體的滲透率。如果從下游需求分析,硅含量就是下游需求中半導體芯片的滲透率。 從長期的維度上來看,電子化是不斷推進的趨勢,而各類電子產品中的半導體含量過去 20 年來都在不斷上升,簡稱“硅含量”提升 。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲半導體市場規模

硅片/硅晶圓是製造芯片的核心基礎材料,高純度要求下工序流程複雜、設備參數要求高。 Raw wafer 在整體成本中的佔比並不高(不到 10%,芯片製程越先進佔比越小), 但是,硅晶圓作為芯片製造的基礎核心材料能夠從量上直接觀測行業芯片的產出、先進製程升級的節奏。

硅片/晶圓供給的主要增長來自於 12 寸( 300mm), 8 寸片以存量產能為主。 根據硅片龍頭 Sumco 在 2019Q3 的指引, 2018~2022 年 12 寸硅片需求數量複合增長率預期為 4.1%;12 寸硅片供給數量複合增長率預期為 3.9%,供給增速低於需求增速。從需求側分拆,硅片幾大需求包括 Nand、 Dram、 Logic 和其他。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球硅片需求預測

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球 12 寸硅片供需預測(千片/月)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球 12 寸硅片需求側拆分(千片/月)

二、 先進製程成為晶圓製造的分水嶺

1、 摩爾定律沒有失效,但資本壁壘迅速提升

摩爾定律: 當價格不變時,集成電路上可容納的元器件的數目,約每隔 18~24 個月便會增加一倍,性能也將提升一倍。 英偉達的黃仁勳認為嚴格意義上的摩爾定律已經失效,IMEC(比利時微電子研究中心)、 ASML 等機構為半導體產業規劃的藍圖裡摩爾定律持續演進。 摩爾定律沒有失效,但是製程之外的設計與工藝扮演越來越重要的角色,同時資本密集度的迅速提升使得行業壁壘發生變化。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲製程升級放緩

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲IMEC 半導體技術藍圖已經規劃到 1nm

摩爾定律推進, 但製程提升貢獻比例在下降。 根據 AMD 數據,過去十年製程升級帶來更高性能、更低功耗,製程升級為半導體性能提升貢獻 40%。 根據 ASML 預測, 2018~2028年的未來十年半導體性能提升進一步加速, 製程提升的貢獻為 30%左右,剩下增長來自於諸如 3D Stacking、多核架構、內存整合、軟件系統、電源管理等多方面的升級。 因此,在未來的芯片性能提升中, 架構、系統、軟件將扮演越來越重要的角色。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲過去十年半導體性能提升速度

晶圓製造行業發展趨勢面臨 Die Size 限制和成本限制。 過去十年, CPU 及 GPU 的 DieSize 呈現上升趨勢,但受制於 12 寸晶圓產線, Die Size 的增長是不可持續的。同時,相同 Die Size 的晶圓產線, 單位面積成本也不斷攀升, 5nm 的單位面積成本是 45nm 的 5倍。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲250mm Die Siz 的成本倍數迅速提升

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲CPU/GPU 芯片 Die Size 呈現上升趨勢

先進製程的持續升級帶來鉅額的成本。 根據 IBS, 3nm 芯片的設計費用約 5~15 億美元,工藝開發費用約 40~50 億美元,興建一條 3nm 產線的成本約 150~200 億美元。 3nm 芯片僅比 5nm 芯片提升 15%性能、降低 25%功耗。 根據 IMEC 論文, 7nm 以後,每一代升級單個晶圓的工藝成本( Process Cost) 提升幅度達到 30%。 同樣面積的硅晶圓,即使通過微縮增加了晶體管的數量,生產成本也會相應增加。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲2019 年單片晶圓價格預估( 等價 8 寸片計價, 美元)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲設計成本:先進 IC 設計成本快速增加

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲投資金額: 100K 產能對應投資額要求(億美元)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲工藝成本: 7nm 之後單位芯片工藝成本每代增加 30%

資金、技術壁壘提升,先進製程的供給端向寡頭壟斷髮展,先進製程供不應求。

創新推動先進製程需求。 根據臺積電的產品組合,最先進製程主要是為 logic 和部分 RF提供, 主流的成熟製程能覆蓋大部分其他應用領域。 隨著創新不斷升級, 5G、 AI、物聯網等需求提升,創新導入時使用的製程工藝從成熟向先進工藝升級。

供給受限於有限的產能。 目前先進製程的供給端只有臺積電、三星、英特爾。英特爾為IDM,自家消費級 10nm 產品產能不足、市場缺貨。 受益於 5G、 智能手機、 HPC、 AIoT等需求, 7nm 及以下先進製程需求旺盛。 臺積電為先進製程的核心晶圓代工廠,目前10nm 工藝客戶已經超過 10 家, 7nm EUV 客戶至少 5 家(蘋果、海思、高通、三星、AMD), 6nm 客戶除了 7nm EUV 的 5 家還多了博通、聯發科。臺積電 7nm 產品持續滿產,多個客戶爭搶產能,由臺積電進行產能配置。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲臺積電不同製程對應應用( 2019-06)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲新產品從成熟製程往先進製程遷移

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲7nm 及以下先進製程應用:智能手機、 HPC、 AIoT

2、 晶圓製造行業技術複雜度不斷提升

摩爾定律引領半導體產業,實現產業持續升級需要貫穿整條產業鏈,包括上游( 設備如光刻機廠商 ASML)、晶圓製造(臺積電、英特爾、三星)以及下游( IC 設計如蘋果、 AMD、海思、高通、聯發科等) 等環節的廠商協同。

光刻機從 DUV 到浸入式 DUV,再升級成 EUV,成為推進摩爾定律的重要環節。 根據ASML 預測,晶圓代工領域節點會持續升級;內存 DRAM 領域也將使用 EUV;閃存 Nand等向 3D 堆疊發展,不需要用 EUV 升級。 其他設備龍頭廠商如 AMAT、 Lam Research、KLA Tencor 等也紛紛佈局先進製程節點相關設備。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲ASML 預測半導體制程升級規劃

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲先進製程設備端佈局

晶體管結構創新,形態更加複雜。 2011 年,英特爾在 22nm 時引入 FinFET,減少橫向尺寸,增加單位面積設備密度,同時增加鰭的高度。三星計劃於 2021~2022 引入 GAA,應用於其 3nm 製程。臺積電除了 GAA 晶體管結構之外,也進行其他方向佈局。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲晶體管結構變化

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲下一代晶體管結構

先進封裝技術是高性能芯片的重要基礎之一。 硅通孔( TSV)的三維封裝技術在超越摩爾定律中扮演重要角色。先進封裝技術提升了互聯密度和信號傳輸速率。在已經量產的2.5D IC 領域,臺積電主推 CoWoS 工藝,英特爾主推 EMIB 工藝,三星主推 FOPLP。未來通過難度更高的 TSV 技術, 臺積電將進一步量產 SoIC、 WoW 等 3D IC,英特爾推出Foveros 技術,三星推出 3D SiC。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲臺積電先進封裝技術一覽

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲臺積電佈局 3D integration 封裝技術

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲三星佈局先進封裝技術

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲臺積電、三星、英特爾均是堆疊封裝技術的主要參與者

3、 行業高壁壘、高集中、少進入者

先進製程呈現資金、技術壁壘不斷提高的趨勢,行業格局逐漸出清。 從製造環節而言,行業資金、技術壁壘極高,不僅十多年來沒出現新的競爭玩家,而且隨著製程分水嶺的出現,越來越多的參與者從先進製程中“出局”。 格羅方德在 2018 年宣佈放棄 7nm 研發,聯電在 2018 年宣佈放棄 12nm 以下(即 7nm 及以下) 的先進製程投資,因此保持先進製程研發的玩家僅剩行業龍頭臺積電、三星、英特爾等,以及處於技術追趕的中芯國際。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲晶圓廠製程升級規劃

高資金壁壘和技術壁壘,行業十多年沒有新的競爭者出現且越來越多現有玩家放棄先進製程追趕。 龐大的資金投入使得中小行業玩家望而卻步,複雜越來越高的工藝和技術成為行業固有護城河,並且隨著“摩爾定律” 推進, 每一個製程節點都舉步維艱,擁有高端製程能力的公司屈指可數。

行業呈現寡頭壟斷,臺積電強者愈強。 根據拓璞產業研究, 2019 年全球十大晶圓代工廠分別為:臺積電、三星、格芯、聯電、中芯國際、 TowerJazz、 H-Grace、 VIS、 PSC、DongbuHiTek。 臺積電市佔率超過 50%,在整個晶圓代工行業,臺積電不管是技術領先性還是優質客戶和訂單的選擇,都是保持比較大的優勢。

目前能夠提供 7nm 及 7nm 以下先進製程工藝(對應英特爾 10nm)的廠商僅有臺積電、英特爾和三星。根據拓璞產業研究, 2019 年臺積電先進製程市場份額為 52%,英特爾約 25%,三星約 23%。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲先進製程產能分佈

晶體管密度不斷提升,但不同廠商命名規格有區別。 英特爾的 10nm 工藝晶體管密度介於臺積電 7nm 和 7nm EUV 之間。 2019 年,臺積電 7nm 製程投資大概 100~110K 產能,客戶較多。三星 7nm LPP( EUV)工藝產能大概 10K,三星的晶圓代工業務客戶主要是三星、高通、 IBM。 根據拓璞產業研究, 2020 年臺積電 5nm 產能預計 60~70K,同時三星預計也會推出其 5nm 工藝。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲不同製程節點晶體管密度(標準化工藝節點以 intel 10nm 為參考節點)

臺積電積極推動先進製程,引領全行業。 根據製程性能提升幅度上看, 28nm、 16nm、7nm 等具有顯著提升幅度的節點,一般具有相對較長的壽命;而提升幅度較少的節點一般為過渡節點。臺積電 6nm 預計在 2020Q1 進行風險試產,預計 2020 年年底量產; 5nm 進入爬坡提升良率階段,預計 2020 年 3 月開始量產。 臺積電的 5nm 邏輯密度將是之前7nm 的 1.8 倍, SRAM 密度是 7nm 的 1.35 倍, 可以帶來 15%的性能提升,以及 30%的功耗降低。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲臺積電製程升級路徑

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲臺積電歷代製程 PPA( power、 performance、 Are reduction)環比提升幅度

英特爾在 10nm 製程上稍微落後,隨後 7nm 預計在 2021 年量產,並持續進行優化。英特爾製程升級歷史一般是兩年一次,但從 2014 年的 14nm 到 2019 年的 10nm,出現明顯的升級放緩。 目前,英特爾的 10nm 工藝已經量產,但存在缺貨問題。英特爾預計2020 年推出 10nm+, 2021 年推出 7nm 及 10nm++, 2022 年推出 7nm+, 2023 年推出 7nm++。 英特爾的晶圓廠主要用於生產自家 CPU。

英特爾 2020 年 capex 提升至 170 億美元,其中一半用於 7/5nm 和擴大 Fab 工廠。英特爾的 10nm 還是採用浸入式 DUV 設備, 7nm 才開始導入 EUV 設備。英特爾的芯片從2018H2 開始就供不應求,因此 2019 年 capex 為 162 億美元,產能增長 25%。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲1987~2019 英特爾製程升級路徑(縱座標為製程 nm 數)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲英特爾未來製程升級規劃

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲英特爾服務 CPU 產品路線

三星積極投入晶圓代工領域,加快製程升級。 三星在 2017 年將晶圓代工業務部門從系統 LSI 中獨立出來,主要為全球客戶製造非存儲芯片。截止 2019 年底,三星晶圓代工專屬線包括 6 條 12 寸線和 3 條 8 寸線。 提供包括 65 納米、 45 納米、 32/28 納米 HKMG、14 納米 FinFET、 10 納米 FinFET、 7 納米 FinFET EUV 工藝,客戶包括蘋果、高通、超微半導體、賽靈思、英偉達、恩智浦( NXP)以及韓國本土公司 Telechips 等。 三星計劃在2020 年底試產 3nm 工藝,並專用 GAA MCFET 工藝技術。 三星計劃在未來十年(至 2030年)共投資約 1150 億美元,用於爭取晶圓代工行業主導權。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲三星電子晶圓代工製程發展路徑

4、 半導體需求三駕馬車共振,國產替代迎來機遇

創新趨勢不變: 創新是決定電子行業的估值與持續成長的核心邏輯,本輪創新由 5G 驅動的數據中心、手機、通訊等歷史上第一次共振。

中期供需仍緊張: 全球半導體投資關注中期供需的核心變量——需求與資本開支,疫情對短期需求會有一定擾動,但中期三大需求不受本質影響,而全球資本開支截止 2019Q3末還沒有全面啟動,並有部分企業由於疫情再次遞延資本開支,中期供需缺口有望繼續放大。 供給方面,全球資本開支除龍頭臺積電外尚未全面啟動,我們預計本次疫情中部分企業將再度進行資本開支遞延,中期供需缺口有望進一步放大,中期景氣度有望繼續保持向上趨勢!

國產替代歷史性機遇開啟, 2019 年正式從主題概念到業績兌現, 2020 年有望繼續加速。 逆勢方顯優質公司本色, 這是 19 年行業下行週期中 A 股半導體公司迭超預期,優質標的國產替代、結構改善逐步兌現至報表是核心原因。進入 2020 年,我們預計在國產化加速疊加行業週期景氣上行之下, A 股半導體龍頭公司們有望延續高增長表現。

數據中心:數據中心回暖,受益於 5G 持續發展 。 在目前服務器均價已經企穩的同時,我們認為在未來隨著 5G 對其的拉動,內部升級將不間斷, 5G 網絡帶來的傳輸速度將會較 4G 有質的提升,服務器將會在應對存儲方面呈幾何倍數增長的需求的同時,還需要保持高帶寬、低時延、高穩定性的要求,對於服務器而言無疑是在性能方面提出了更高的要求。 服務器或有望在未來實現更進一步的價值量的提高,達到價量齊升的平臺。

全球服務器行業已經歷經多年,其出貨量從 2013 年至 2018 年也經歷了起起伏伏。 2018年,全球服務器市場出貨量再次實現了超越 10%的增長,主要源自於雲計算、大數據、AI 等新一代技術對互聯網企業持續拓展基礎架構規模的推動,同時也刺激了傳統企業用戶的採購需求。 2019 年,企業買家和超大規模公司通過 ODM 購買的需求比前幾個季度減少,這影響了第一季度的市場增長速度,但用戶對高配置服務器的需求將進一步支持平均售價的增長。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球服務器年出貨量統計

隨著 IoT、 AI(尤其智能安防)和智能駕駛時代到來,邊緣計算的快速成長帶來的性能需求將成為中長期半導體的成長驅動!數據中心對服務器的需求成為整體服務器市場出貨成長的關鍵。 我們預計近兩年來數據中心服務器的需求將在 2020 年前完成規劃, 將繼續維持每年二至三成的年增率,推動服務器出貨量及市場的增長。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲IDC 服務器裝機量增長趨勢(千臺)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲雲計算資本開支金額(百萬 USD)

根據 IDC 以及 Gartner 對於過往季度的服務器出貨量以及對未來的服務器出貨量的預測進行調整後,我們預計在 2019 年後服務器行業將受到 5G 時代的衝擊,實現長期且穩定的出貨量的增長,同時由於服務器產品的不斷升級,我們也預計其單價將在未來逐步增長。預計全球服務器的出貨量將會在 2020 年達到 1220 萬臺,而隨著 5G 的逐步鋪設,在 2021 年將會繼續保持約 10%的增長,且之後預計將以每年 7%~8%的增速穩定且持久的增長。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球服務器自 2019 年後的出貨量預測(萬臺)

對於中國內服務器需求及出貨量而言,我們認為中國作為 5G 建設最快國,服務器方面的建設也將遙遙領先,同時由於中國在該方面進度略慢於海外,故在 2021 年之前服務器出貨量將維持高於全球增速的平臺之上,之後逐步恢復,與全球的服務器增速趨同。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲中國 X86 服務器出貨量及預測

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲中國 X86 服務器市場規模

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲數據中心的新 SSD 儲存需求( ZB/年)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲數據中心對 300mm 硅片的需求(千片每月)

根據 Gartner 以及 IDC 的數據對服務器進行了簡單的分類:高性能運算服務器以及傳統服務器。根據預測,在接下來數年內服務器市場的增長將主要以可支撐 AI 計算方面的高性能服務器為主, 同時也將帶動 CPU/GPU、以及 Dram 的高增長。

手機: 5G 放量“前夜”, 單機硅含量提升 。中國手機市場正值 5G 放量的“前夜”。 IDC 公佈全球 2019 年 Q3 手機出貨量為 3.58 億部,同比增長 1%,智能手機的市場正在逐漸回暖。按照市場份額來看,排名第一的為三星,三季度出貨 7820 萬,同比增長 8.3%。華為排名第二,三季度出貨 6660 萬,同比增長 28.2%。蘋果三季度出貨 4660 萬,同比下滑 0.6%。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球智能手機出貨量(百萬臺)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球智能手機按品牌出貨量(百萬臺)

5G 芯片備貨量超預期,逐漸向中低端滲透。 根據 IDC 預測, 2019 年 5G 手機出貨量為670 萬部,份額僅為 0.5%。到 2023 年, 5G 手機出貨量將達到整體手機出貨量的 26%。各家 5G 芯片供應商紛紛加足馬力備貨,我們預計 2020 年全球 5G 手機出貨量為 2-3 億部。

臺積電 7 納米制程產能在 2019 年第 3 季開始全線爆滿的盛況, 2020 年上半年都可能出現產能供不應求的局面。聯發科、高通、三星電子及海思等 5G 芯片供應商,都不斷要求上、下游協力廠大舉擴充產能,並有效拉高公司內外的庫存水平。我們看到 5G 芯片的備貨開始向中低端加速滲透。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲5G 芯片備貨量(百萬顆)

移動數據傳輸量和傳輸速度的不斷提高主要依賴於移動通訊技術的變革,及其配套的射頻前端芯片的性能的不斷提高。在過去的十年間,通信行業經歷了從 2G 到 3G 再到 4G ( FDD-LTE/TD-LTE)兩次重大產業升級。在 4G 普及的過程中,全網通等功能在高端智能手機中得到廣泛應用,體現了智能手機兼容不同通信制式的能力。

根據 QYR Electronics Research Center 的統計,從 2011 年至 2018 年全球射頻前端市場規模以年複合增長率 13.10%的速度增長, 2018 年達 149.10 億美元。受到 5G 網絡商業化建設的影響,自 2020 年起,全球射頻前端市場將迎來快速增長。 2018 年至 2023 年全球射頻前端市場規模預計將以年複合增長率 16.00%持續高速增長, 預計 2023 年接近313.10 億美元。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球射頻前端市場規模預測(億美元)

隨著消費者對高質量拍照、錄像的需求日益增加,攝像頭模組的進化是智能手機發展的必經之路。 伴隨著雙攝、三攝滲透率的提高,市場將會開啟新的成像變革。根據 Statista的預測, 2018 年三攝滲透率僅為 1.6%,而到了 2020 年三攝的滲透率將達到 24.5%。在採用三攝的機型上,安卓陣營在今明兩年或比蘋果更加積極。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲全球手機攝像頭模組消費量(億顆)

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲國內手機攝像頭模組產量(億顆)

旗艦機種的像素不斷升級,由 2000 萬逐漸升至 4000 萬。 前置攝像頭也逐漸由 800 萬升級至 2400 萬,拍照效果提升。此外,國內高端機種的鏡頭也逐漸從 5P 升級到 6P,以便實現超級大廣角,大光圈,光學變焦也不斷升級至三倍,使得夜拍效果逐漸加強。 IDC預計 2018 年後置鏡頭的 6P 滲透率約為 40%。

智能手機創新進一步提升單機硅含量。 Sumco 預計 5G 智能手機升級將拉動需求, DRAM、camera 硅含量翻倍, Nand 硅含量增長至 8 倍。假設高端手機為 4 億部不變, 5G 升級促進高端手機所消耗的硅片將從 430 K/M 提升至 533 K/M。

通訊: 5G 基站建設進入放量期 。5G 由於需要提供更快的傳輸速度,所使用的頻率將向高頻率頻道轉移,從而無法避免的會將其信號的衍射能力(即繞過障礙物的能力) 降低, 而想要將其解決的辦法既是: 增建更多基站以增加覆蓋。

基建建設帶來的放量降價是每一輪通信週期的必由之路,行業必然經歷一波洗禮,回顧2G-4G 的歷史,具有技術及資本護城河,掌握渠道優勢的公司走的更長,需要深入評估每個賽道的競爭格局和公司治理能力。

根據賽迪顧問的預測數據, 5G 宏基站的數量在 2026 年預計將達到 475 萬個,是 2017年底 4G 基站 328 萬個的 1.45 倍左右,配套的小基站數量約為宏基站的 2 倍,約為 950萬個,總共基站數量約為 1425 萬個。 PCB 是基站建設中不可缺少的電子材料, 如此龐大的基站量,將會產生巨大的 PCB 增量空間。

揭秘晶圓代工產業!告別“缺芯”之痛,三大需求推動國內產業騰飛

▲宏基站年建設數量預測

智東西

認為, 華為事件加速國產鏈重塑,幾乎所有科技龍頭,甚至部分海外龍頭也在加快國產鏈公司導入。 過去我們見證了通信、家電、工程機械、光伏、高鐵、消費電子等核心戰略領域從無到有,從弱到強的過程,半導體行業已經具備市場、系統、下游、技術突破等成長關鍵要素。可以很樂觀的預計,2020年國內晶圓代工-封測產業鏈將會繼續加速發展。


分享到:


相關文章: