01.25 半导体封测行业深度报告:景气向上,旭日初升

(获取报告请登陆未来智库www.vzkoo.com)

一、半导体景气复苏,封测环节有望深度受益

(一)低迷之后,5G、AI 驱动新一轮景气度提升

世界半导体贸易统计协会(WSTS)最新公布的预测报告显示:半导体市况因美中贸易摩擦急速恶化的情况持续至 2019 年,加上受智能手机等需求低迷影响,故将 2019 年全球半导体市场规模(销售额)自前次(2019 年 6 月)预估的 4120.86 亿美元(年减 12.1%)下修至 4089.88 亿美元、预计年减 12.8%,将创自 IT 泡沫破灭后的 2001 年(年减 32.0%)以来的最大减幅。展望 2020 年,随着 5G 商用、半导体与 AI 技术融合对数据中心需求的大幅增加、AI 与 IOT 技术融合对智能终端产品的不断革新、存储器需求的恢复增长、汽车电子对高可靠性集成电路产品需求的提高,预计未来集成电路行业将保持持续增长趋势。

全球半导体销售可以侧面反映整个行业的发展状况,亚太地区销售占比逐年递增, 2000 年后半导体销售逐年复合增长率达到 9.22%,超越了欧美与日本,以中国为首的亚太地区成为世界最大的的半导体市场。根据中国半导体行业协会统计,随着二季度集成电路行业逐步回暖,2019 年上半年我国集成电路产业销售额 3048.2 亿元,同比增长 11.8%,增速比一季度略有增长。其中,设计业销售额 1206.1 亿元,同比增长 18.3%;制造业销售额为 820 亿元,同比增长 11.9%;封装测试业销售额 1022.1 亿元,同比增长 5.4%。中国市场的强劲增长以及成为全球半导体最重要的核心动力之一。

半导体行业具有一定周期性。在宏观上,行业景气度受全球经济周期变化影响,波动与全球 GDP 变化基本一致,这对整条产业链关联公司影响较大,通过销售额同比变化发现半导体行业周期约为 3-5 年。

① 2000-2004 年蜂窝电话和 3G 通信是半导体行业的的主要推动力;

② 2004-2010 年 PC、消费电子和移动通信促进行业发展;

③ 2010-2014 年智能手机取代 PC 成为行业推动力;

④ 2014-2018 年存储业务需求增大维持行业增长动力;

⑤ 2020 年 5G 商用化、IOT 技术、AI、智能汽车预期成为未来新动力。

半导体封测行业深度报告:景气向上,旭日初升

(二)封测环节——半导体行业重要通道

半导体行业主要包含电路设计、晶圆制造和封装测试三个部分。封装测试是半导体产业链的最后一个环节。半导体封装测试是指将通过测试的晶圆按照产品型号及功能需求加工得到独立芯片的过程。

半导体封测行业深度报告:景气向上,旭日初升

半导体封装的作用包含对芯片的支撑与机械保护,电信号的互连与引出,电源的分配和热管理。半导体封测主要流程包括贴膜、打磨、去膜再贴膜、切割、晶圆测试、芯片粘贴、烘焙、键合、检测、压膜、电镀、引脚切割、成型、成品测试等。封装的核心在于如何实现芯片 I/O 接口电极与整个系统 PCB 板物理和电气互联。

测试工艺贯穿了整个半导体设计、制造、封测三大过程,是提高芯片制造良率的关键工序之一。广义的半导体测试包括前段及中后段的工艺检测。其中,前段工艺检测偏重于检测晶圆制造过程中微观结构是否符合工艺要求(例如几何尺寸与表面形貌的检测、成分结构分析等),主要设备是高精度晶圆光学检测机(AOI)等,这部分检测是在晶圆制造阶段完成。中后段的性能测试主要偏重于从芯片功能性的角度检测芯片的性能是否符合设计要求,这部分检测是在封测阶段完成。

涉及中后道的性能测试,主要设备是测试机、分选机及探针台。其中测试机是检测芯片功能和性能的专用设备,检测时对芯片施加特定输入信号,采集被检测芯片的输出信号与预期值进行比较,判断芯片在不同工作条件下功能和性能的有效性;分选机把芯片传送到指定测试位置,然后通过电缆接受测试机的控制,根据测试结果将完成测试的芯片分类放置;探针台主要用途是实现芯片的电参数测试,探针台配合测量仪器可完成集成电路的电压、电流、电阻以及电容电压特性曲线等参数检测。

半导体封测行业深度报告:景气向上,旭日初升

二、半导体产业向大陆转移,国内封测一马当先

(一)半导体行业全球转移路径

……

(二)国内 IC 行业几大环节比较

我国整体 IC 产业现状是:IC 设计水平提升迅速,规模扩充的同时,封测行业稳健发展,制造业还处于部署到产能释放的过渡阶段。

2018 年中国进口芯片超过达到了 3104亿美元,较 2017 年同比增长 20%,创下了历史新高。而出口芯片仅为 837 亿美元,从2009 年至 2018 年芯片净进口额复合增速约为 10%。芯片需求量的市场规模约为 3900亿美元左右,虽然拥有庞大的市场需求,但由于芯片产业链条长,每个环节均有不小的技术难度,导致我国芯片自给能力弱。根据 IC Insights 统计,我国 2018 年的芯片自给率约为 15.3%左右,2023 年预期增长至 470 亿美元,也仅占当年全球集成电路市场总量 5714 亿美元的 8.2%,即使加上销售给电子系统制造商的部分,这一比例也将仅有 10%左右。总体来看,我国与国际先进技术之间还存在巨大差距,但是在一些细分领域实现了突破,达到领先水准。

半导体封测行业深度报告:景气向上,旭日初升

(1)国内 IC 设计类行业发展现状

IC 设计企业属于轻资产,作为产业龙头主营业务贴近并引领市场终端需求。中国大陆的设计类公司数量众多且产品种类繁多,企业总体规模偏小,且公司的产值及产品相对分散。2018 年中国 IC 设计产业的总营收将超过 280 亿美元,增速将超过 25%。推动中国企业营收增长的动力来自于两方面:

 众多新型应用场景带动 IC 设计需求增长,叠加国产替代芯片的巨大需求;

 晶圆制造厂的产能供应也将在 2020 年释放,为设计企业的产能提供保障。

中国半导体设计行业发展受下游产品需求驱动,总体可以分成三个阶段。

 第一阶段从 1999 到 2005 年受智能卡芯片需求驱动,年复合增长率 86.0%;

 第二阶段从 2006 到 2012 年,通信行业推动芯片设计发展,CAGR 为 22.3%;

 第三阶段从 2013 至今,移动智能终端芯片是主要推动力,CAGR 为 25.5%。

未来新一轮增长预期受益于 IOT、5G、AI 等新兴产业对芯片设计的需求,这些新兴技术对芯片功能差异化需求更大、且种类应用范围更广,IC 设计行业终端产品需求量预期会更多,未来 IC 设计行业将会进一步增长。

半导体封测行业深度报告:景气向上,旭日初升

根据市场研究机构 Trend Force 发布的 2018 年中国十大 IC 设计公司榜单,有三家收入超过了 10 亿美元,有四家的年增长率超过了 20%,但是有两家公司出现了下滑。华为海思以 503 亿排名第一,紫光展锐以 110.5 亿排名第二,豪威科技以 100 亿排名第三。前十名公司主要受益于智能手机芯片业务的需求,也就是中国大陆 IC 设计行业第三轮主要推动力。

半导体封测行业深度报告:景气向上,旭日初升

AI,5G,边缘计算和生物识别等高科技行业应用将会带来行业格局的变化。中国在上述领域的关键技术方面都处于领先地位,这将持续推动中国 IC 设计业的发展。另外,汽车的智能化和联网化,也将增大汽车市场对于智能芯片的需求。

(2)国内 IC 制造类行业发展现状

根据中国半导体行业协会统计,2018 年中国半导体制造销售额为 1818.2 亿元,较去年同比增长 26%,自 2013 年以来连续五年增长率维持在 20%以上。2004 年至 2019 年复合增长率为 17.9%。中国大陆半导体制造业受益于国家政策的大力支持,以及全球半导体产业向大陆转移,台湾及海外半导体制造公司纷纷在大陆铺设生产线和扩充产能。

半导体封测行业深度报告:景气向上,旭日初升

IC 制造属于重资本行业,前期需要投入巨额资本支出在研发、设备等方面,我国半导体制造环节制程技术落后于国际先进水平,整体产业仍处于部署到产能释放的过渡阶段。

根据 SEMI 最新的数据,中国大陆目前拥有全球最多数量的晶圆厂项目,多达 30个新的厂房或产线在建或计划建造。其中 13 个面向晶圆代工市场,其余的厂房则是 LED、存储或其他技术。然而大多数产线不涉足先进工艺,只有台积电在南京的厂房 2018 年开始生产 16nm FinFET,而大陆本土企业中芯国际则是第一家实现 14nm FinFET 制程工艺量产的厂商。

大陆在晶圆制造工艺技术方面仍落后,本土公司中芯国际和华虹宏力努力缩短先进工艺差距。根据 IC Insights 最新制程布局公布,台积电十年前就推出了 28nm,目前正在加速 7nm 量产,5/3nm 也已在布局中。格罗方德、三星和联电均能提供 28nm,同时14nm 产能也在爬坡。不过目前格罗方德、联华电子都停止了 14/12nm 以下工艺节点的研发;三星则正在加速 7nm 至 3nm 以及其他工艺的制程投入;英特尔继续推动 14nm+++产能爬坡和 10nm 量产。近期新闻显示:大陆本土 foundry 中芯国际在 14 纳米成功量产,此外,华虹 14nm 亦取得较大突破。虽有一定差距,但不可否认的事实是国产厂商与海外先进制程的差距正不断缩小。

(3)国内 IC 封测类行业发展现状

我国 IC 封装业是整个半导体产业中发展最早的,而且规模和技术上已经不落后于世界大厂。

根据中国半导体行业协会数据,2018 年中国半导体封测市场规模 2194 亿元,较2017 年同比增长 16.1%,2019 年上半年封测销售额为 1022 亿元。2004 年至今,我国半导体封测行业一直保持高速发展,年复合增长率为 15.8%。封测行业高速发展的同时,半导体市场占比逐年下降,2018 年占整个中国半导体市场的 34%,这说明了封测作为我国半导体产业的先行推动力,已经起到了带头作用,推动半导体其他环节快速发展。

半导体封测行业深度报告:景气向上,旭日初升

根据 2019 年第三季最新营收统计,半导体封测业务公司主要集中在中国大陆和中国台湾,台湾日月光收购硅品后市占率最高达到22%,大陆企业长电、华天和通富总占比约28.1%。2019 年上半年封测业受到中美贸易摩擦、手机销量下滑及存储器价格偏低等因素拖累,大多数封测厂商营收持续走跌,下半年有所恢复。

随着电子产品进一步朝向小型化与多功能的发展,芯片尺寸越来越小,芯片种类越来越多,其中输出入脚数大幅增加,使得 3D 封装、扇形封装(FO WLP/PLP)、微间距焊线技术,以及系统封装(SiP) 等技术的发展成为延续摩尔定律的最佳选择之一,半导体封测行业也在由传统封测向先进封测技术过渡。中国大陆封测公司通过并购海外先进封装厂导入先进封装技术,相对于 IC 设计、晶圆代工、记忆体产业来说,中国半导体产业在封测领域不落后国际大厂,中国的长电科技与通富微电,和日月光与 Amkor 等国际大厂在封测技术和系统封装技术差距不大。未来中国封测行业将会继续扮演产业推动主要角色,实现国产半导体行业升级和进步。

三、先进封装,后摩尔定律时代的重要选择

(一)摩尔定律艰难前行,封装技术有望接力

自 1965 年,英特尔联合创始人戈登·摩尔提出著名的“摩尔定律”,意指集成电路上可容纳的元器件的数量每隔 18 至 24 个月就会增加一倍,性能也将提升一倍。而如今,摩尔定律增速放缓,每 10 年只有约 2 倍。主要原因是由于随着集成度越高,工艺制程受成本大幅增长和技术壁垒上升导致推进速度放缓,技术走向已经偏离了摩尔定律的预期。SemiEngineering 统计不同工艺下开发芯片所需要的费用,其中 28nm 节点上开发芯片成本只要 5130 万美元,16nm 节点需要 1 亿美元,7nm 节点需要 2.97 亿美元,到 5nm 节点就是 5.4 亿美元了,3nm 工艺预期高达 10 亿美元。高额的研发投入和技术壁垒限制了工艺制程的推进速度,如果希望继续维持摩尔定律,未来的主要方式有四各可行的方向。

 优化制程工艺,单位面积堆砌更多的晶体管,并且降低晶体管和芯片的价格,最终通过增大出货量来降低成本。

 采用新架构,优化指令集,增大 L2 和 L3 的缓存,优化向量处理器等,提升芯片的性能。

 开发新的软件应用生态结构,

使用先进的机器学习、数据分析以及 VR 与 AR 渲染帮助程序更易于使用。

 先进封装技术允许不同节点上的各个部件的混合,更灵活,而且成本投入上远小于先进工艺制程的花费。

基于高阶封测技术低成本投入、高灵活性的特点,一直受到人们的关注。高阶封装技术未来发展方向朝着两大板块演进,一个是以晶圆级芯片封装 WLCSP(Fan-In WLP、Fan-out WLP 等) ,在更小的封装面积下容纳更多的引脚数;另一板块是系统级芯片封装(SiP),封装整合多种功能芯片于一体,压缩模块体积,提升芯片系统整体功能性和灵活性。

半导体封测行业深度报告:景气向上,旭日初升

(二)IC 封测技术发展路径

自二十世纪九十年代以来电子封装技术发展迅速,根据芯片结构需求发展出了不同单项或者混合应用技术,后又在各个技术的基础上衍生出更高级的先进封装技术。

 按照封装结构分类:金属圆形封装(TO)->双列直插封装(DIP)->塑料有引线片式裁体(PLCC)->四边引线扁平封装(QFP)->针栅阵列(PGA)->球栅阵列(BGA)->芯片尺寸封装(CSP)->多芯片组件(MCM)

 按照装配方式分类:通孔插装(THT)->表面组装(SMT)->直接安装(DCA)

 按照连接方式分类:引线键合(WB)->载带自动键合(TAB)->倒装芯片键合(FC) 随着电子产品进一步朝向小型化与多功能的发展,芯片尺寸越来越小其中输出入脚数大幅增加,在传统技术基础上衍生出一些先进封装技术来满足需求。使得 3D 封装、矽穿孔(TSV)、扇形封装(FO WLP/PLP)、微间距焊线技术,以及系统封装(SiP)) 等技术的发展成为延续摩尔定律的最佳选择。

现阶段高阶封装技术的主流发展方向有三个:

 晶圆级芯片封装 WLP(Fan-In WLP、Fan-out WLP) ,是有芯片尺寸封装(CSP)技术基础上衍生出来的,在更小的封装面积下容纳更多的引脚数;

 系统级芯片封装(SiP),是多芯片组件(MCM)技术上的的衍生,将多种功能芯片,包括处理器、存储器等功能芯片集成于一体,压缩模块体积,提升芯片系统整体功能性和灵活性。

 3D 集成技术(3D-IC),采用三维堆叠的方式来提高系统集成度,通过硅通孔(TSV)实现层间垂直互连,有效缩短了互连线长度,并且可以实现异构集成。

半导体封测行业深度报告:景气向上,旭日初升

(三)我国先进封测现状

根据 Yole 数据统计,虽然 2019 年半导体行业整体放缓,先进封装市场规模将保持成长趋势,以 8%的年复合成长率成长,到 2024 年达到约 440 亿美元。传统封装市场将以2.4%的年复合成长率成长,而整个 IC 封装产业 CAGR 将达 5%。预计 2.5D/3D IC,ED和扇出型封装的营收增长率分别为 26%、49%、26%。

中国 IC 封装业目前仍以传统封装业务为主。据 TrendForce 统计,2018 年中国先进封装营收约为 526 亿人民币,占中国 IC 封测总营收的 25%,远低于全球 41%的比例。2018年中国封测四强的先进封装产值约 110.5 亿元,约占中国先进封装总产值的 21%,其余内资企业以及在大陆设有先进封装产线的外资企业、台资企业的先进封装营收约占 79%。虽然近年中国本土先进封测四强(长电、通富、华天、晶方)通过自主研发和兼并收购,已基本完成先进封装的产业化升级,但中国总体先进封装技术水平与国际领先水平还有一定的差距。

半导体封测行业深度报告:景气向上,旭日初升

(四)我国封测业未来展望,高级封测终将成为主流

近几年的海外并购让中国封测企业快速崛起,获得了技术、市场并弥补了一些结构性的缺陷。但是封测行业马太效应明显,海外优质并购标的显著减少,未来通过并购取得先进封装技术与市占率可能性很小,自主研发+技术升级将会成为主流。我国封测行业未来发展方向应该由“量的增长”向“质的突破”转化。

量的增长:传统封装行业的特点是重人力成本、轻资本与技术。半导体产业链三个环节中,设计对技术积累与人才要求最高;制造对资本投入要求高;封装产业对资本与人才要求相对较低,而对人工成本在三个环节中最 敏感。最终体现为设计和制造的附加值最高,封测的利润附加值最低。我国大陆 2018 年设计和制造合计占半导体销售额的 66%,封测占比 34%。台湾企业在全球封测市场占有率最高,但是 2018 年封测行业营收占台湾半导体市场总营收只有 19%,更多是利润来自于制造和设计。封装行业对人力成本最敏感,大陆封测行业上市公司 2018 年每百万营收需要职工数为 2.06 人,头部四家封测公司(长电、华天、通富、晶方)平均为 1.59 人,同期 IC 设计行业和制造行业(中芯、华宏)分别为 0.75 和 0.74 人。

后摩尔时代,在物理尺寸即将走到极限、制程技术不能带来有效的成本降低时,半导体硬件上的突破将会更加依赖先进封装技术。因为先进封装更加灵活,不局限于晶体管尺寸的缩小,而是可以灵活的的结合现有封装技术降低成本;研发投入和设备投入也没有半导体制造资本支出高,这将成为延续摩尔定律的关键。

“质的突破”:传统封测由于技术壁垒低、同业竞争激烈,利润提高空间非常小,未来我国封测行业应该向利润附加值更高的高级封测转化,资本支出将取代人力成本作为新的行业推动力。下一个半导体发展周期将依靠 AI、5G、IOT、智能汽车等新兴应用,这些新兴应用都对电子硬件有着共同的要求:高性能、高集成、高速度、低功耗、低成本。先进封装技术是解决各种性能需求和复杂异构集成需求等硬件方面的完美选择。

由于先进封装涉及中道晶圆制造所用技术与设备,利润附加值增长的同时资本和技术的投入也是远高于传统封测,先进封装资本支出类似于“晶圆制造”。先进封装涉及到晶圆研磨薄化、重布线、凸点制作(Bumping)及 3D-TSV 等制程,在制程中需要用到刻蚀、沉积等前道设备,这必然意味着大规模的资本支出,同时也意味着半导体中下游产业链业务分界模糊,相互渗透和拓展。例如 TSMC 推出的 InFO 集成扇出型高级封装和 CoWoS 晶圆基底芯片封装技术提供了一种除了 IC 设计业务外承包整个 IC 制造的商业模式,成功让 TSMC 拿到了 3 代苹果公司的订单;Intel 与 AMD 也已经推出嵌入式多芯片互连桥接(Embedded Multi-chip Interconnection Bridge, EMIB)技术,并成功运用在商业量产上,也就是英特尔的第八代 Core G 系列处理器。台积电 2016 年仅InFO 资本投入达 9.5 亿美元,而日月光 2016 年资本支出预计仅约 8 亿美元。与传统封装不同,先进封装资本支出才是核心驱动力。

半导体封测行业深度报告:景气向上,旭日初升

四、核心标的介绍

(一)长电科技:封测龙头,管理层优化及大客户转单驱动公司成长

长电科技作为全球 IC 封测环节中的第一梯队企业,其分立器件以及集成电路封装测试业务已经涵盖全球主要半导体客户,且在先进封装方面亦不断向国际先进水平靠拢。2019 年,公司大刀阔斧的进行管理层优化整合,由经验丰富的中芯国际团队负责公司的产能优化和业务整合。2019 年 9 月郑力先生接任公司 CEO 及董事职务,郑力先生之前是恩智浦全球高级副总裁兼大中华区总裁,并承担多个高级管理职务,凭借其在集成电路领域近 30 年的经验,将带领长电科技迈向新的台阶。

此外,2019 年以来,受中美贸易摩擦影响,华为海思相关订单呈现加速转向中国大陆趋势。而长电科技作为本土规模最大,技术路线最丰富的半导体封测企业,毫无疑问将会是这一轮华为转单的最大收益者。

(二)华天科技:CIS+存储+射频,多维布局抢占先机

华天科技作为是一家本土前三、世界前十的半导体封装公司,主营业务覆盖全面,从传统封测到先进封测等多个系列。华天科技近几年一直稳健扩张,财务结构良好,毛利率一直维持稳定。随着 2019 年三季度以来行业整体回暖,订单逐月增加,各厂产能利用率逐步提升。

 天水厂以中低端传统封装为主,包括引线框架、部分 BGA、MCM 和 FC 业务,2019Q2产能利用率回升至 90%,盈利稳定。

 西安厂主要以 QFN 和 BGA 等中端封测技术为主,Q1 产能利用率在 70%左右, 2019Q2满产。

 昆山厂主要业务是包括 WLP、Bumping、MEMS 和 TSV 等 2.5D-3D 高端封测技术,,,当前手机前置镜头 CIS 和安防镜头 CIS 封装订单饱满。随着全球市场恢复,国内市场在华为订单转移加持下恢复速度加快,高级封测需求量有望大幅度提升。

此外,南京新厂的产能扩充和海外先进封测业务拓展将会是华天科技最值得期待盈利增长点。公司南京基地主要部署存储器、MEMS、人工智能等高级封测产线,已于 2019年年初开工建设,预计 2020 年投产。海外并购公司 Unisem 拥有完整的 Bumping、SiP、FC、MEMS 等先进封装技术,公司财务状况良好,现阶段整合顺利。Unisem 主要客户包括 Broadcom、Qorvo、Skyworks 等公司,有望显著受益 5G 射频的芯片封装。

从华天科技各大业务布局来看:稳健扎实的传统封装是公司业绩的核心压舱石,而近年来积极部署的先进封装也正随着 CIS、存储和 5G 射频的景气高涨而开花结果,公司业绩正加速向前。

(三)通富微电:各大基地协同发力,AMD 合作渐入佳境

经过多年内生成长+外延并购的发展战略,公司现已具备六处生产基地,其产能规模及营收体量均跃居全球半导体封测行业前列,下游应用遍及手机终端、存储芯片、汽车电子、CPU、GPU 等众多领域。2018 年公司营收增长 10.79%,营收增速在全球前十大封测公司中排名第二,营收规模由 2017 年的全球第七上升至全球第六,行业地位进一步提升。

2019 年上半年,通富超威苏州、通富超威槟城实现逆势增长 32.16%的亮丽成绩;与此同时,通富超威苏州成为第一个为 AMD7 纳米全系列产品提供封测服务的工厂,第二季度末7纳米产品出货总量超出AMD预期8%,标志着苏州槟城两厂被纳入通富麾下之后,其业务能力日益精进。8 月 8 日,AMD 推出了全球首款 7 纳米芯片,谷歌与推特也宣布未来将会在数据中心的 CPU 部分采用 AMD 核心处理器的产品。通富超威苏州、槟城作为给 AMD 7nm 产品提供封测服务的两大基地,有望显著受益于 AMD 未来的营收增长。

(四)晶方科技:CIS 持续景气,多年深耕终结硕果

晶方科技是国内 WLP 先进封测技术的领军企业之一,主要专注于传感器领域的先进封测业务。产品应用于消费电子、安防、生物识别、汽车电子等诸多领域。目前公司是全球第二大能提供影像传感芯片晶圆级尺寸封装业务的服务商。2019 年 1 月,公司收购海外公司 Anteryon,其完整的晶圆级光学组件制造量产能力和技术与公司现有的WLCSP 封测形成良好的协同作用。

受“平安城市,天网工程,雪亮工程”驱动,我国视频监控市场增长率 15%左右,2020年有望达到 1683 亿。公司高阶 CMOS 封装产品有望持续受益于日渐增长的视频监控需求。此外汽车领域,ADAS 系统镜头数目的巨大需求量也是推动公司封测产片出货量增长的主要动力。据 HIS 数据,随着 ADAS 渗透率提升,2020 年全球汽车摄像头将达到8300 万枚,复合增速 20%。预计汽车电子、医疗健康、安防等其他应用将是未来 5 年市场成长新动能,作为主要下游封测厂商,晶方科技将优先受益。传感器封测市场中摄像头、指纹识别与 3D 传感仍占较大份额。目前,手机摄像头、指纹识别与 3D 传感渗透率增高,都加速图像传感器的发展,CIS 芯片封装需求快速增长将会是公司未来值得期待的看点。

受景气度高涨影响,公司当前产能呈现供不应求的状态。2019 年 12 月,晶方科技发布定增预案,拟募集资金不超过 14 亿,用于集成电路 12 英寸 TSV 及异质集 成智能传感器模块项目,项目建成后将形成年产 18 万片的生产能力;达产后预计年增 1.6 亿净利润。随着募投项目落地,公司业绩将被显著增厚。

(五)长川科技:显著受益于景气周期中封测环节 Capax 提升

长川科技作为一家专业的半导体设备公司,公司主要为集成电路封装测试企业、晶圆制造企业、芯片设计企业等提供测试设备,集成电路测试设备主要包括测试机、分选机、探针台、自动化生产线等,目前本公司主要产品包括测试机、分选机及自动化生产线。随着本轮半导体景气周期见底回升,以台积电为首的晶圆厂相济调高资本支出,大幅扩产以应对强劲的市场需求,按照半导体产业链的传导规律,晶圆厂的产能扩张也势必蔓延至中下游封装厂商。此外,在全球半导体产业向国内转移的过程中,对中国大陆来说,无论是晶圆厂还是封装厂都景气周期都将是强于全球行业周期。

与此同时我们也看到,随着长电/华天/通富/晶方的产能满载,其扩产意愿愈加迫切,故而我们认为长川科技作为国内领先的半导体封装测试设备供应商,将有望显著受益于此一轮半导体行业景气周期+国产化趋势。

(六)大港股份:并购江苏科阳光电,积极切入先进封装

公司业务主要包括房地产、集成电路封测、园区服务等。2019 年 5 月,公司通过收购江苏科阳光电 65.5831%股权,切入 TSV 技术路线的先进封装赛道,其封装品类包括 CIS、生物识别、晶圆级 MEMS 和射频芯片、存储及电源等芯片,拥有电容式指纹,光学式指纹,结构光,TOF 等生物识别芯片封装,光学微镜头阵列等制造解决方案,产品广泛用于手机、物联网、人工智能、汽车和安防等广泛领域。

2019 年 12 月,大港股份做了两个动作,一个是将亏损资产艾科半导体从上市公司体内剥离,显然,公司的整体资产价值正在向良性转变;另一个则是针对 CIS 芯片晶圆级封装扩增产能,科阳光电拟使用自筹资金在原有产线上增加设备的方式扩充 8 寸 CIS芯片晶圆级封装产能,预计总投资 13,000 万元,产能扩充分两期实施,其中首期新增产能 3,000 片/月。随着摄像头应用数量增长,CIS、生物识别等芯片市场的不断起量,积极切入先进封测赛道的大港股份其成长逻辑将会在 2020-2021 周期内逐渐蜕变。

从公司2019年业绩预告公告来看,由于最近两个会计年度经审计的净利润连续为负值,公司股票将在 2019 年年度报告披露后被实施退市风险警示,因此,我们建议投资者关注大港股份的业务变化,但本次投资报告暂不予以投资评级。

五、投资建议

自 2019 年下半年以来,全球范围内新一轮半导体景气已基本确立并拉开帷幕。对于大陆 IC 从业者来说,华为转单与产业转移的逻辑将进一步强化本轮景气周期并使其在中国大陆的演绎更加淋漓尽致。封测环节作为本土半导体产业链中最为成熟的领域,其订单承接能力更具确定性。标的方面,我们看好封测环节的长电科技、晶方科技、通富微电、华天科技,以及封测设备厂商长川科技。

获取报告请登陆未来智库www.vzkoo.com。


分享到:


相關文章: