01.25 半導體封測行業深度報告:景氣向上,旭日初昇

(獲取報告請登陸未來智庫www.vzkoo.com)

一、半導體景氣復甦,封測環節有望深度受益

(一)低迷之後,5G、AI 驅動新一輪景氣度提升

世界半導體貿易統計協會(WSTS)最新公佈的預測報告顯示:半導體市況因美中貿易摩擦急速惡化的情況持續至 2019 年,加上受智能手機等需求低迷影響,故將 2019 年全球半導體市場規模(銷售額)自前次(2019 年 6 月)預估的 4120.86 億美元(年減 12.1%)下修至 4089.88 億美元、預計年減 12.8%,將創自 IT 泡沫破滅後的 2001 年(年減 32.0%)以來的最大減幅。展望 2020 年,隨著 5G 商用、半導體與 AI 技術融合對數據中心需求的大幅增加、AI 與 IOT 技術融合對智能終端產品的不斷革新、存儲器需求的恢復增長、汽車電子對高可靠性集成電路產品需求的提高,預計未來集成電路行業將保持持續增長趨勢。

全球半導體銷售可以側面反映整個行業的發展狀況,亞太地區銷售佔比逐年遞增, 2000 年後半導體銷售逐年複合增長率達到 9.22%,超越了歐美與日本,以中國為首的亞太地區成為世界最大的的半導體市場。根據中國半導體行業協會統計,隨著二季度集成電路行業逐步回暖,2019 年上半年我國集成電路產業銷售額 3048.2 億元,同比增長 11.8%,增速比一季度略有增長。其中,設計業銷售額 1206.1 億元,同比增長 18.3%;製造業銷售額為 820 億元,同比增長 11.9%;封裝測試業銷售額 1022.1 億元,同比增長 5.4%。中國市場的強勁增長以及成為全球半導體最重要的核心動力之一。

半導體行業具有一定週期性。在宏觀上,行業景氣度受全球經濟週期變化影響,波動與全球 GDP 變化基本一致,這對整條產業鏈關聯公司影響較大,通過銷售額同比變化發現半導體行業週期約為 3-5 年。

① 2000-2004 年蜂窩電話和 3G 通信是半導體行業的的主要推動力;

② 2004-2010 年 PC、消費電子和移動通信促進行業發展;

③ 2010-2014 年智能手機取代 PC 成為行業推動力;

④ 2014-2018 年存儲業務需求增大維持行業增長動力;

⑤ 2020 年 5G 商用化、IOT 技術、AI、智能汽車預期成為未來新動力。

半導體封測行業深度報告:景氣向上,旭日初昇

(二)封測環節——半導體行業重要通道

半導體行業主要包含電路設計、晶圓製造和封裝測試三個部分。封裝測試是半導體產業鏈的最後一個環節。半導體封裝測試是指將通過測試的晶圓按照產品型號及功能需求加工得到獨立芯片的過程。

半導體封測行業深度報告:景氣向上,旭日初昇

半導體封裝的作用包含對芯片的支撐與機械保護,電信號的互連與引出,電源的分配和熱管理。半導體封測主要流程包括貼膜、打磨、去膜再貼膜、切割、晶圓測試、芯片粘貼、烘焙、鍵合、檢測、壓膜、電鍍、引腳切割、成型、成品測試等。封裝的核心在於如何實現芯片 I/O 接口電極與整個系統 PCB 板物理和電氣互聯。

測試工藝貫穿了整個半導體設計、製造、封測三大過程,是提高芯片製造良率的關鍵工序之一。廣義的半導體測試包括前段及中後段的工藝檢測。其中,前段工藝檢測偏重於檢測晶圓製造過程中微觀結構是否符合工藝要求(例如幾何尺寸與表面形貌的檢測、成分結構分析等),主要設備是高精度晶圓光學檢測機(AOI)等,這部分檢測是在晶圓製造階段完成。中後段的性能測試主要偏重於從芯片功能性的角度檢測芯片的性能是否符合設計要求,這部分檢測是在封測階段完成。

涉及中後道的性能測試,主要設備是測試機、分選機及探針臺。其中測試機是檢測芯片功能和性能的專用設備,檢測時對芯片施加特定輸入信號,採集被檢測芯片的輸出信號與預期值進行比較,判斷芯片在不同工作條件下功能和性能的有效性;分選機把芯片傳送到指定測試位置,然後通過電纜接受測試機的控制,根據測試結果將完成測試的芯片分類放置;探針臺主要用途是實現芯片的電參數測試,探針臺配合測量儀器可完成集成電路的電壓、電流、電阻以及電容電壓特性曲線等參數檢測。

半導體封測行業深度報告:景氣向上,旭日初昇

二、半導體產業向大陸轉移,國內封測一馬當先

(一)半導體行業全球轉移路徑

……

(二)國內 IC 行業幾大環節比較

我國整體 IC 產業現狀是:IC 設計水平提升迅速,規模擴充的同時,封測行業穩健發展,製造業還處於部署到產能釋放的過渡階段。

2018 年中國進口芯片超過達到了 3104億美元,較 2017 年同比增長 20%,創下了歷史新高。而出口芯片僅為 837 億美元,從2009 年至 2018 年芯片淨進口額複合增速約為 10%。芯片需求量的市場規模約為 3900億美元左右,雖然擁有龐大的市場需求,但由於芯片產業鏈條長,每個環節均有不小的技術難度,導致我國芯片自給能力弱。根據 IC Insights 統計,我國 2018 年的芯片自給率約為 15.3%左右,2023 年預期增長至 470 億美元,也僅佔當年全球集成電路市場總量 5714 億美元的 8.2%,即使加上銷售給電子系統制造商的部分,這一比例也將僅有 10%左右。總體來看,我國與國際先進技術之間還存在巨大差距,但是在一些細分領域實現了突破,達到領先水準。

半導體封測行業深度報告:景氣向上,旭日初昇

(1)國內 IC 設計類行業發展現狀

IC 設計企業屬於輕資產,作為產業龍頭主營業務貼近並引領市場終端需求。中國大陸的設計類公司數量眾多且產品種類繁多,企業總體規模偏小,且公司的產值及產品相對分散。2018 年中國 IC 設計產業的總營收將超過 280 億美元,增速將超過 25%。推動中國企業營收增長的動力來自於兩方面:

 眾多新型應用場景帶動 IC 設計需求增長,疊加國產替代芯片的巨大需求;

 晶圓製造廠的產能供應也將在 2020 年釋放,為設計企業的產能提供保障。

中國半導體設計行業發展受下游產品需求驅動,總體可以分成三個階段。

 第一階段從 1999 到 2005 年受智能卡芯片需求驅動,年複合增長率 86.0%;

 第二階段從 2006 到 2012 年,通信行業推動芯片設計發展,CAGR 為 22.3%;

 第三階段從 2013 至今,移動智能終端芯片是主要推動力,CAGR 為 25.5%。

未來新一輪增長預期受益於 IOT、5G、AI 等新興產業對芯片設計的需求,這些新興技術對芯片功能差異化需求更大、且種類應用範圍更廣,IC 設計行業終端產品需求量預期會更多,未來 IC 設計行業將會進一步增長。

半導體封測行業深度報告:景氣向上,旭日初昇

根據市場研究機構 Trend Force 發佈的 2018 年中國十大 IC 設計公司榜單,有三家收入超過了 10 億美元,有四家的年增長率超過了 20%,但是有兩家公司出現了下滑。華為海思以 503 億排名第一,紫光展銳以 110.5 億排名第二,豪威科技以 100 億排名第三。前十名公司主要受益於智能手機芯片業務的需求,也就是中國大陸 IC 設計行業第三輪主要推動力。

半導體封測行業深度報告:景氣向上,旭日初昇

AI,5G,邊緣計算和生物識別等高科技行業應用將會帶來行業格局的變化。中國在上述領域的關鍵技術方面都處於領先地位,這將持續推動中國 IC 設計業的發展。另外,汽車的智能化和聯網化,也將增大汽車市場對於智能芯片的需求。

(2)國內 IC 製造類行業發展現狀

根據中國半導體行業協會統計,2018 年中國半導體制造銷售額為 1818.2 億元,較去年同比增長 26%,自 2013 年以來連續五年增長率維持在 20%以上。2004 年至 2019 年複合增長率為 17.9%。中國大陸半導體制造業受益於國家政策的大力支持,以及全球半導體產業向大陸轉移,臺灣及海外半導體制造公司紛紛在大陸鋪設生產線和擴充產能。

半導體封測行業深度報告:景氣向上,旭日初昇

IC 製造屬於重資本行業,前期需要投入鉅額資本支出在研發、設備等方面,我國半導體制造環節制程技術落後於國際先進水平,整體產業仍處於部署到產能釋放的過渡階段。

根據 SEMI 最新的數據,中國大陸目前擁有全球最多數量的晶圓廠項目,多達 30個新的廠房或產線在建或計劃建造。其中 13 個面向晶圓代工市場,其餘的廠房則是 LED、存儲或其他技術。然而大多數產線不涉足先進工藝,只有臺積電在南京的廠房 2018 年開始生產 16nm FinFET,而大陸本土企業中芯國際則是第一家實現 14nm FinFET 製程工藝量產的廠商。

大陸在晶圓製造工藝技術方面仍落後,本土公司中芯國際和華虹宏力努力縮短先進工藝差距。根據 IC Insights 最新制程佈局公佈,臺積電十年前就推出了 28nm,目前正在加速 7nm 量產,5/3nm 也已在佈局中。格羅方德、三星和聯電均能提供 28nm,同時14nm 產能也在爬坡。不過目前格羅方德、聯華電子都停止了 14/12nm 以下工藝節點的研發;三星則正在加速 7nm 至 3nm 以及其他工藝的製程投入;英特爾繼續推動 14nm+++產能爬坡和 10nm 量產。近期新聞顯示:大陸本土 foundry 中芯國際在 14 納米成功量產,此外,華虹 14nm 亦取得較大突破。雖有一定差距,但不可否認的事實是國產廠商與海外先進製程的差距正不斷縮小。

(3)國內 IC 封測類行業發展現狀

我國 IC 封裝業是整個半導體產業中發展最早的,而且規模和技術上已經不落後於世界大廠。

根據中國半導體行業協會數據,2018 年中國半導體封測市場規模 2194 億元,較2017 年同比增長 16.1%,2019 年上半年封測銷售額為 1022 億元。2004 年至今,我國半導體封測行業一直保持高速發展,年複合增長率為 15.8%。封測行業高速發展的同時,半導體市場佔比逐年下降,2018 年佔整個中國半導體市場的 34%,這說明了封測作為我國半導體產業的先行推動力,已經起到了帶頭作用,推動半導體其他環節快速發展。

半導體封測行業深度報告:景氣向上,旭日初昇

根據 2019 年第三季最新營收統計,半導體封測業務公司主要集中在中國大陸和中國臺灣,臺灣日月光收購硅品後市佔率最高達到22%,大陸企業長電、華天和通富總佔比約28.1%。2019 年上半年封測業受到中美貿易摩擦、手機銷量下滑及存儲器價格偏低等因素拖累,大多數封測廠商營收持續走跌,下半年有所恢復。

隨著電子產品進一步朝向小型化與多功能的發展,芯片尺寸越來越小,芯片種類越來越多,其中輸出入腳數大幅增加,使得 3D 封裝、扇形封裝(FO WLP/PLP)、微間距焊線技術,以及系統封裝(SiP) 等技術的發展成為延續摩爾定律的最佳選擇之一,半導體封測行業也在由傳統封測向先進封測技術過渡。中國大陸封測公司通過併購海外先進封裝廠導入先進封裝技術,相對於 IC 設計、晶圓代工、記憶體產業來說,中國半導體產業在封測領域不落後國際大廠,中國的長電科技與通富微電,和日月光與 Amkor 等國際大廠在封測技術和系統封裝技術差距不大。未來中國封測行業將會繼續扮演產業推動主要角色,實現國產半導體行業升級和進步。

三、先進封裝,後摩爾定律時代的重要選擇

(一)摩爾定律艱難前行,封裝技術有望接力

自 1965 年,英特爾聯合創始人戈登·摩爾提出著名的“摩爾定律”,意指集成電路上可容納的元器件的數量每隔 18 至 24 個月就會增加一倍,性能也將提升一倍。而如今,摩爾定律增速放緩,每 10 年只有約 2 倍。主要原因是由於隨著集成度越高,工藝製程受成本大幅增長和技術壁壘上升導致推進速度放緩,技術走向已經偏離了摩爾定律的預期。SemiEngineering 統計不同工藝下開發芯片所需要的費用,其中 28nm 節點上開發芯片成本只要 5130 萬美元,16nm 節點需要 1 億美元,7nm 節點需要 2.97 億美元,到 5nm 節點就是 5.4 億美元了,3nm 工藝預期高達 10 億美元。高額的研發投入和技術壁壘限制了工藝製程的推進速度,如果希望繼續維持摩爾定律,未來的主要方式有四各可行的方向。

 優化製程工藝,單位面積堆砌更多的晶體管,並且降低晶體管和芯片的價格,最終通過增大出貨量來降低成本。

 採用新架構,優化指令集,增大 L2 和 L3 的緩存,優化向量處理器等,提升芯片的性能。

 開發新的軟件應用生態結構,

使用先進的機器學習、數據分析以及 VR 與 AR 渲染幫助程序更易於使用。

 先進封裝技術允許不同節點上的各個部件的混合,更靈活,而且成本投入上遠小於先進工藝製程的花費。

基於高階封測技術低成本投入、高靈活性的特點,一直受到人們的關注。高階封裝技術未來發展方向朝著兩大板塊演進,一個是以晶圓級芯片封裝 WLCSP(Fan-In WLP、Fan-out WLP 等) ,在更小的封裝面積下容納更多的引腳數;另一板塊是系統級芯片封裝(SiP),封裝整合多種功能芯片於一體,壓縮模塊體積,提升芯片系統整體功能性和靈活性。

半導體封測行業深度報告:景氣向上,旭日初昇

(二)IC 封測技術發展路徑

自二十世紀九十年代以來電子封裝技術發展迅速,根據芯片結構需求發展出了不同單項或者混合應用技術,後又在各個技術的基礎上衍生出更高級的先進封裝技術。

 按照封裝結構分類:金屬圓形封裝(TO)->雙列直插封裝(DIP)->塑料有引線片式裁體(PLCC)->四邊引線扁平封裝(QFP)->針柵陣列(PGA)->球柵陣列(BGA)->芯片尺寸封裝(CSP)->多芯片組件(MCM)

 按照裝配方式分類:通孔插裝(THT)->表面組裝(SMT)->直接安裝(DCA)

 按照連接方式分類:引線鍵合(WB)->載帶自動鍵合(TAB)->倒裝芯片鍵合(FC) 隨著電子產品進一步朝向小型化與多功能的發展,芯片尺寸越來越小其中輸出入腳數大幅增加,在傳統技術基礎上衍生出一些先進封裝技術來滿足需求。使得 3D 封裝、矽穿孔(TSV)、扇形封裝(FO WLP/PLP)、微間距焊線技術,以及系統封裝(SiP)) 等技術的發展成為延續摩爾定律的最佳選擇。

現階段高階封裝技術的主流發展方向有三個:

 晶圓級芯片封裝 WLP(Fan-In WLP、Fan-out WLP) ,是有芯片尺寸封裝(CSP)技術基礎上衍生出來的,在更小的封裝面積下容納更多的引腳數;

 系統級芯片封裝(SiP),是多芯片組件(MCM)技術上的的衍生,將多種功能芯片,包括處理器、存儲器等功能芯片集成於一體,壓縮模塊體積,提升芯片系統整體功能性和靈活性。

 3D 集成技術(3D-IC),採用三維堆疊的方式來提高系統集成度,通過硅通孔(TSV)實現層間垂直互連,有效縮短了互連線長度,並且可以實現異構集成。

半導體封測行業深度報告:景氣向上,旭日初昇

(三)我國先進封測現狀

根據 Yole 數據統計,雖然 2019 年半導體行業整體放緩,先進封裝市場規模將保持成長趨勢,以 8%的年複合成長率成長,到 2024 年達到約 440 億美元。傳統封裝市場將以2.4%的年複合成長率成長,而整個 IC 封裝產業 CAGR 將達 5%。預計 2.5D/3D IC,ED和扇出型封裝的營收增長率分別為 26%、49%、26%。

中國 IC 封裝業目前仍以傳統封裝業務為主。據 TrendForce 統計,2018 年中國先進封裝營收約為 526 億人民幣,佔中國 IC 封測總營收的 25%,遠低於全球 41%的比例。2018年中國封測四強的先進封裝產值約 110.5 億元,約佔中國先進封裝總產值的 21%,其餘內資企業以及在大陸設有先進封裝產線的外資企業、臺資企業的先進封裝營收約佔 79%。雖然近年中國本土先進封測四強(長電、通富、華天、晶方)通過自主研發和兼併收購,已基本完成先進封裝的產業化升級,但中國總體先進封裝技術水平與國際領先水平還有一定的差距。

半導體封測行業深度報告:景氣向上,旭日初昇

(四)我國封測業未來展望,高級封測終將成為主流

近幾年的海外併購讓中國封測企業快速崛起,獲得了技術、市場並彌補了一些結構性的缺陷。但是封測行業馬太效應明顯,海外優質併購標的顯著減少,未來通過併購取得先進封裝技術與市佔率可能性很小,自主研發+技術升級將會成為主流。我國封測行業未來發展方向應該由“量的增長”向“質的突破”轉化。

量的增長:傳統封裝行業的特點是重人力成本、輕資本與技術。半導體產業鏈三個環節中,設計對技術積累與人才要求最高;製造對資本投入要求高;封裝產業對資本與人才要求相對較低,而對人工成本在三個環節中最 敏感。最終體現為設計和製造的附加值最高,封測的利潤附加值最低。我國大陸 2018 年設計和製造合計佔半導體銷售額的 66%,封測佔比 34%。臺灣企業在全球封測市場佔有率最高,但是 2018 年封測行業營收佔臺灣半導體市場總營收只有 19%,更多是利潤來自於製造和設計。封裝行業對人力成本最敏感,大陸封測行業上市公司 2018 年每百萬營收需要職工數為 2.06 人,頭部四家封測公司(長電、華天、通富、晶方)平均為 1.59 人,同期 IC 設計行業和製造行業(中芯、華宏)分別為 0.75 和 0.74 人。

後摩爾時代,在物理尺寸即將走到極限、製程技術不能帶來有效的成本降低時,半導體硬件上的突破將會更加依賴先進封裝技術。因為先進封裝更加靈活,不侷限於晶體管尺寸的縮小,而是可以靈活的的結合現有封裝技術降低成本;研發投入和設備投入也沒有半導體制造資本支出高,這將成為延續摩爾定律的關鍵。

“質的突破”:傳統封測由於技術壁壘低、同業競爭激烈,利潤提高空間非常小,未來我國封測行業應該向利潤附加值更高的高級封測轉化,資本支出將取代人力成本作為新的行業推動力。下一個半導體發展週期將依靠 AI、5G、IOT、智能汽車等新興應用,這些新興應用都對電子硬件有著共同的要求:高性能、高集成、高速度、低功耗、低成本。先進封裝技術是解決各種性能需求和複雜異構集成需求等硬件方面的完美選擇。

由於先進封裝涉及中道晶圓製造所用技術與設備,利潤附加值增長的同時資本和技術的投入也是遠高於傳統封測,先進封裝資本支出類似於“晶圓製造”。先進封裝涉及到晶圓研磨薄化、重佈線、凸點製作(Bumping)及 3D-TSV 等製程,在製程中需要用到刻蝕、沉積等前道設備,這必然意味著大規模的資本支出,同時也意味著半導體中下游產業鏈業務分界模糊,相互滲透和拓展。例如 TSMC 推出的 InFO 集成扇出型高級封裝和 CoWoS 晶圓基底芯片封裝技術提供了一種除了 IC 設計業務外承包整個 IC 製造的商業模式,成功讓 TSMC 拿到了 3 代蘋果公司的訂單;Intel 與 AMD 也已經推出嵌入式多芯片互連橋接(Embedded Multi-chip Interconnection Bridge, EMIB)技術,併成功運用在商業量產上,也就是英特爾的第八代 Core G 系列處理器。臺積電 2016 年僅InFO 資本投入達 9.5 億美元,而日月光 2016 年資本支出預計僅約 8 億美元。與傳統封裝不同,先進封裝資本支出才是核心驅動力。

半導體封測行業深度報告:景氣向上,旭日初昇

四、核心標的介紹

(一)長電科技:封測龍頭,管理層優化及大客戶轉單驅動公司成長

長電科技作為全球 IC 封測環節中的第一梯隊企業,其分立器件以及集成電路封裝測試業務已經涵蓋全球主要半導體客戶,且在先進封裝方面亦不斷向國際先進水平靠攏。2019 年,公司大刀闊斧的進行管理層優化整合,由經驗豐富的中芯國際團隊負責公司的產能優化和業務整合。2019 年 9 月鄭力先生接任公司 CEO 及董事職務,鄭力先生之前是恩智浦全球高級副總裁兼大中華區總裁,並承擔多個高級管理職務,憑藉其在集成電路領域近 30 年的經驗,將帶領長電科技邁向新的臺階。

此外,2019 年以來,受中美貿易摩擦影響,華為海思相關訂單呈現加速轉向中國大陸趨勢。而長電科技作為本土規模最大,技術路線最豐富的半導體封測企業,毫無疑問將會是這一輪華為轉單的最大收益者。

(二)華天科技:CIS+存儲+射頻,多維佈局搶佔先機

華天科技作為是一家本土前三、世界前十的半導體封裝公司,主營業務覆蓋全面,從傳統封測到先進封測等多個系列。華天科技近幾年一直穩健擴張,財務結構良好,毛利率一直維持穩定。隨著 2019 年三季度以來行業整體回暖,訂單逐月增加,各廠產能利用率逐步提升。

 天水廠以中低端傳統封裝為主,包括引線框架、部分 BGA、MCM 和 FC 業務,2019Q2產能利用率回升至 90%,盈利穩定。

 西安廠主要以 QFN 和 BGA 等中端封測技術為主,Q1 產能利用率在 70%左右, 2019Q2滿產。

 崑山廠主要業務是包括 WLP、Bumping、MEMS 和 TSV 等 2.5D-3D 高端封測技術,,,當前手機前置鏡頭 CIS 和安防鏡頭 CIS 封裝訂單飽滿。隨著全球市場恢復,國內市場在華為訂單轉移加持下恢復速度加快,高級封測需求量有望大幅度提升。

此外,南京新廠的產能擴充和海外先進封測業務拓展將會是華天科技最值得期待盈利增長點。公司南京基地主要部署存儲器、MEMS、人工智能等高級封測產線,已於 2019年年初開工建設,預計 2020 年投產。海外併購公司 Unisem 擁有完整的 Bumping、SiP、FC、MEMS 等先進封裝技術,公司財務狀況良好,現階段整合順利。Unisem 主要客戶包括 Broadcom、Qorvo、Skyworks 等公司,有望顯著受益 5G 射頻的芯片封裝。

從華天科技各大業務佈局來看:穩健紮實的傳統封裝是公司業績的核心壓艙石,而近年來積極部署的先進封裝也正隨著 CIS、存儲和 5G 射頻的景氣高漲而開花結果,公司業績正加速向前。

(三)通富微電:各大基地協同發力,AMD 合作漸入佳境

經過多年內生成長+外延併購的發展戰略,公司現已具備六處生產基地,其產能規模及營收體量均躍居全球半導體封測行業前列,下游應用遍及手機終端、存儲芯片、汽車電子、CPU、GPU 等眾多領域。2018 年公司營收增長 10.79%,營收增速在全球前十大封測公司中排名第二,營收規模由 2017 年的全球第七上升至全球第六,行業地位進一步提升。

2019 年上半年,通富超威蘇州、通富超威檳城實現逆勢增長 32.16%的亮麗成績;與此同時,通富超威蘇州成為第一個為 AMD7 納米全系列產品提供封測服務的工廠,第二季度末7納米產品出貨總量超出AMD預期8%,標誌著蘇州檳城兩廠被納入通富麾下之後,其業務能力日益精進。8 月 8 日,AMD 推出了全球首款 7 納米芯片,谷歌與推特也宣佈未來將會在數據中心的 CPU 部分採用 AMD 核心處理器的產品。通富超威蘇州、檳城作為給 AMD 7nm 產品提供封測服務的兩大基地,有望顯著受益於 AMD 未來的營收增長。

(四)晶方科技:CIS 持續景氣,多年深耕終結碩果

晶方科技是國內 WLP 先進封測技術的領軍企業之一,主要專注於傳感器領域的先進封測業務。產品應用於消費電子、安防、生物識別、汽車電子等諸多領域。目前公司是全球第二大能提供影像傳感芯片晶圓級尺寸封裝業務的服務商。2019 年 1 月,公司收購海外公司 Anteryon,其完整的晶圓級光學組件製造量產能力和技術與公司現有的WLCSP 封測形成良好的協同作用。

受“平安城市,天網工程,雪亮工程”驅動,我國視頻監控市場增長率 15%左右,2020年有望達到 1683 億。公司高階 CMOS 封裝產品有望持續受益於日漸增長的視頻監控需求。此外汽車領域,ADAS 系統鏡頭數目的巨大需求量也是推動公司封測產片出貨量增長的主要動力。據 HIS 數據,隨著 ADAS 滲透率提升,2020 年全球汽車攝像頭將達到8300 萬枚,複合增速 20%。預計汽車電子、醫療健康、安防等其他應用將是未來 5 年市場成長新動能,作為主要下游封測廠商,晶方科技將優先受益。傳感器封測市場中攝像頭、指紋識別與 3D 傳感仍佔較大份額。目前,手機攝像頭、指紋識別與 3D 傳感滲透率增高,都加速圖像傳感器的發展,CIS 芯片封裝需求快速增長將會是公司未來值得期待的看點。

受景氣度高漲影響,公司當前產能呈現供不應求的狀態。2019 年 12 月,晶方科技發佈定增預案,擬募集資金不超過 14 億,用於集成電路 12 英寸 TSV 及異質集 成智能傳感器模塊項目,項目建成後將形成年產 18 萬片的生產能力;達產後預計年增 1.6 億淨利潤。隨著募投項目落地,公司業績將被顯著增厚。

(五)長川科技:顯著受益於景氣週期中封測環節 Capax 提升

長川科技作為一家專業的半導體設備公司,公司主要為集成電路封裝測試企業、晶圓製造企業、芯片設計企業等提供測試設備,集成電路測試設備主要包括測試機、分選機、探針臺、自動化生產線等,目前本公司主要產品包括測試機、分選機及自動化生產線。隨著本輪半導體景氣週期見底回升,以臺積電為首的晶圓廠相濟調高資本支出,大幅擴產以應對強勁的市場需求,按照半導體產業鏈的傳導規律,晶圓廠的產能擴張也勢必蔓延至中下游封裝廠商。此外,在全球半導體產業向國內轉移的過程中,對中國大陸來說,無論是晶圓廠還是封裝廠都景氣週期都將是強於全球行業週期。

與此同時我們也看到,隨著長電/華天/通富/晶方的產能滿載,其擴產意願愈加迫切,故而我們認為長川科技作為國內領先的半導體封裝測試設備供應商,將有望顯著受益於此一輪半導體行業景氣週期+國產化趨勢。

(六)大港股份:併購江蘇科陽光電,積極切入先進封裝

公司業務主要包括房地產、集成電路封測、園區服務等。2019 年 5 月,公司通過收購江蘇科陽光電 65.5831%股權,切入 TSV 技術路線的先進封裝賽道,其封裝品類包括 CIS、生物識別、晶圓級 MEMS 和射頻芯片、存儲及電源等芯片,擁有電容式指紋,光學式指紋,結構光,TOF 等生物識別芯片封裝,光學微鏡頭陣列等製造解決方案,產品廣泛用於手機、物聯網、人工智能、汽車和安防等廣泛領域。

2019 年 12 月,大港股份做了兩個動作,一個是將虧損資產艾科半導體從上市公司體內剝離,顯然,公司的整體資產價值正在向良性轉變;另一個則是針對 CIS 芯片晶圓級封裝擴增產能,科陽光電擬使用自籌資金在原有產線上增加設備的方式擴充 8 寸 CIS芯片晶圓級封裝產能,預計總投資 13,000 萬元,產能擴充分兩期實施,其中首期新增產能 3,000 片/月。隨著攝像頭應用數量增長,CIS、生物識別等芯片市場的不斷起量,積極切入先進封測賽道的大港股份其成長邏輯將會在 2020-2021 週期內逐漸蛻變。

從公司2019年業績預告公告來看,由於最近兩個會計年度經審計的淨利潤連續為負值,公司股票將在 2019 年年度報告披露後被實施退市風險警示,因此,我們建議投資者關注大港股份的業務變化,但本次投資報告暫不予以投資評級。

五、投資建議

自 2019 年下半年以來,全球範圍內新一輪半導體景氣已基本確立並拉開帷幕。對於大陸 IC 從業者來說,華為轉單與產業轉移的邏輯將進一步強化本輪景氣週期並使其在中國大陸的演繹更加淋漓盡致。封測環節作為本土半導體產業鏈中最為成熟的領域,其訂單承接能力更具確定性。標的方面,我們看好封測環節的長電科技、晶方科技、通富微電、華天科技,以及封測設備廠商長川科技。

獲取報告請登陸未來智庫www.vzkoo.com。


分享到:


相關文章: