半導體設備和材料國產化機遇

  • 南大光電(全稱:江蘇南大光電材料股份有限公司)

成立於2000年是一家專業從事先進電子材料——高純金屬有機化合物(MO源)的研發、生產和銷售的高新技術企業,是目前國內唯一實現 MO源大規模產業化生產的企 業,亦是全球四大MO源製造商之一,為中國的航天國防事業以及LED等產業的發展提供了關鍵原料的堅實保障。

公司於2012年8月在深交所創業板上市。2013年公司收到科技部02專項實施管理辦公室下發的通知,公司作為項目責任單位,申請承擔的“高純砷烷、磷烷等特種氣體的研發和中試”獲得02專項2013年度項目立項。2013年7月,公司與安徽省全椒縣人民政府簽訂了《項目投資合同書》,在安徽省全椒縣建設“高純磷烷、砷烷研發和產業化項目”。2014 年底公司對子公司全椒南大光電材料有限公司進行增資,實施“高純砷 烷、磷烷等特種氣體的研發和中試”項目的推進。今年九月份,公司公告擬以1.2億元 向北京科華微電子材料有限公司收購和增資取得31.39%股權,成為其第二大股東,從而以此項投資為契機進入集成電路產業最關鍵材料之一的光刻膠領域。

  • 北京科華(全稱:北京科華微電子材料有限公司)

成立於2004年8月13日,是集光刻膠研發、生產、檢測、銷售於一體的中外合資企 業,同時也是中國第一個在光刻膠領域擁有自主知識產權的高新技術企業。擁有先進的分析測試與應用測試平臺,2009年建成投產的國內第一條具有自主知識產權的紫外正性光刻膠生產線,2012年建成了國內第一條248nm 深紫外光刻膠的生產線。2014年通過了全球最大的LED企業-臺灣晶電的驗證評價,成為全球LED行業光刻膠的主供應商之一。

  • 三環集團(全稱:潮州三環(集團)股份有限公司)

成立於1970年,集材料、產品、裝備研發與製造為一體,產品覆蓋光通信、電子、電 工、新能源和生物等應用領域,其中光纖連接器陶瓷插芯、氧化鋁陶瓷基板、電阻器用 陶瓷基體等產銷量均居全球第一位。其專利和商標的申請覆蓋了國內外經濟技術發達的 國家與地區。

  • 康強電子(全稱:寧波康強電子股份有限公司)

成立於1992年6月,是一家專業從事各類半導體封裝材料的開發、生產、銷售的企業。 主要產品有:各類半導體塑封引線框架,鍵合絲。引線框架包括衝制和蝕刻二種工藝生 產的集成電路框架系列,表面貼裝系列,LED表面貼裝陣列系列,電力電子系列和分 立器件系列,年生產能力超過600億隻,全球前列;鍵合絲包括鍵合金絲,鍵合銅絲系 列產品,生產能力達3.6億米;公司還生產框架生產所需的專用模具,專用設備,專用銅帶,以及合金銅絲等產品,產品為國內外主要封裝企業採用。

  • 有研新材(全稱:有研新材料股份有限公司)

公司原名有研半導體材料股份有限公司,是由北京有色金屬研究總院獨家發起,以募集方式設立的股份有限公司,於1999年3月成立並在上海證券交易所掛牌上市。有研新材主要從事稀土材料、光電子用薄膜材料、生物醫用材料、稀有金屬及貴金屬、紅外光學及光電材料、光纖材料等新材料的研發與生產,是我國有色金屬新材料行業的骨幹企業。

有研新材控股或間接控股包括有研億金新材料有限公司、有研稀土新材料股份有限公司、 有研光電新材料有限責任公司、有研國晶輝新材料有限公司。

  • 寧波江豐(全稱:寧波江豐電子材料股份有限公司)

創建於2005年,專門從事超大規模集成電路芯片製造用超高純度金屬材料及濺射靶材 的研發生產,填補了國內的技術空白,打破了美、日國際跨國公司的壟斷格局。目前已成為國內材料最齊全、工藝最完整、設備能力最強、產能最大的超高純度金屬材料及濺 射靶材生產基地。

江豐電子濺射靶材在全球254個半導體芯片製造工廠實現銷售,客戶包含臺積電、中芯 國際等全球絕大多數知名半導體廠商,打破了Honeywell、JX日礦等六家美日公司對集成電路用高端靶材的壟斷,產品能夠替代進口,填補國內空白,技術達到國際先進水 平。臺積電生產的16nm技術iPhone6S核心處理器(A9芯片)採用了江豐的 Ta、Cu靶材產品。

  • 光華科技(全稱:廣東光華科技股份有限公司)

創建於1980年,公司擁有電子化學品、化學試劑、食品添加劑和醫藥原料藥等產品體 系,廣泛應用於印製線路板、電子、醫藥及其他行業,多數產品已獲得了國家重點新產品、廣東省自主創新產品和廣東省高新技術產品稱號。現已逐步形成了以高性能電子化學品和高品質化學試劑為主導的專用化學品的研發、生產、銷售和服務為一體的產業體 系。

  • 艾森半導體(全稱:崑山艾森半導體材料有限公司)

成立於2004年,是以技術為主導,立足於自主創新的高技術企業。專業致力於半導體、 線路板領域所需電子化學品的研發、製造、銷售的高科技術新型企業。致力於為用戶提 供化學材料、應用工藝和現場服務一體化的整體解決方案。產品包括晶圓系列、封測系列、線路板系列、MID系列、被動元器件和油墨系列。在國內半導體封測行業中,公司是產品市場佔有份額最大的企業之一。

  • 安集微電子(全稱:安集微電子(上海)有限公司)

安集微電子創立於2004年,為一家集研發、生產、銷售為一體的高新技術企業,致力於高增長率和高功能先進材料的研發和生產。產品領域包括如集成電路行業的化學機械拋光液等和太陽能行業中的切割漿料及相關化學品的解決方案。目前,安集公司已與全球數十家客戶進行了各階段合作,其中包括多家世界著名芯片企業和太陽能企業。

  • 鼎龍股份(全稱:湖北鼎龍化學股份有限公司)

湖北鼎龍化學股份有限公司是全球著名的化學品新材料供應商。在國際高端細分領域相 繼開發出碳粉用電荷調節劑、商業噴碼噴墨顯色劑、彩色化學碳粉、碳粉用載體、高端 顏料及萘環酮染料、彩色卡匣(硒鼓)鼓等六大等系列100多種高新技術產品,80%產品填補了國內空白,打破國外壟斷,已成為100多家全球500強企業供應商公司。 公司已發展成為全球電荷調節劑產能最大的製造商和國內產能規模最大的彩色化學碳粉製造商。公司近期進入半導體CMP拋光材料領域,主要產品是CMP拋光墊,用於硅片和藍寶石材料的CMP拋光。

  • 飛凱材料(全稱:上海飛凱光電材料有限公司)

飛凱成立於2002年,是一家研究、生產、銷售高科技製造中使用的材料和特種化學品的專業公司。作為我國最大的光纖光纜塗覆材料供應商,飛凱材料率先打破國外巨頭對 紫外固化光纖光纜塗覆材料的技術壟斷,搶佔市場先機,逐步樹立了公司在紫外固化光 纖光纜塗覆材料行業的領先地位。公司的產品還廣泛應用於IC、IC先進封裝、LED製造、TFT-LCD、PCB、SMT等諸多電子製造領域。

  • 強力新材(全稱:常州強力電子新材料股份有限公司)

公司成立於1997年,是一家以應用研究為導向,立足於產品自主研發創新的高新技術企業,專業從事電子材料領域各類光刻膠專用電子化學品的研發、生產和銷售及相關貿易業務。公司主要產品為光刻膠專用化學品,分為光刻膠用光引發劑和光刻膠樹脂兩大 系列。公司的產品按照應用領域分類,主要有印製電路板(PCB)光刻膠專用化學品(光引發劑和樹脂)、液晶顯示器(LCD)光刻膠光引發劑、半導體光刻膠光引發劑及其他用途光引發劑四大類。公司始終注重研發創新,經過十年多的發展,形成了自身的核心技術,已經成為國內及國際高端光引發劑領域的知名企業。

  • 生益科技(全稱:廣東生益科技股份有限公司)

創建於1985年,主要產品有阻燃型環氧玻纖布覆銅板、複合基材環氧覆銅板及多層板用系列半固化片。產品主要供製作單、雙面及多層線路板,廣泛用於手機、汽車、通訊設備、計算機以及各種高檔電子產品中。生益科技我國最大的覆銅板生產企業,導產品已獲得西門子、索尼、三星等企業的認證,形成了較大的競爭優勢,產品遠銷美國、歐盟、新加坡等世界多個國家和地區,銷量始終保持國內第一。

  • 巨化股份(全稱:浙江巨化股份有限公司)

創建於1958年5月,系全國最大的氟化工先進製造業基地和浙江省最大的化工基地。 其主要產業形成“一主兩翼、三環聯動”格局,氟化工、氯鹼化工、石化材料、精細化 工等化工主業向新材料、新能源、新環保、新用途“四新”方向發展,同時努力培育環 保產業,進軍公用工程、物流商貿、裝備製造等生產性服務業。前,巨化氟化工產業綜合實力處於國內龍頭地位,國內市場佔有率排名第一;氯鹼化工中的新型食品膜材料 (PVDC)規模位居國內前列;石化材料、精細化工等在技術和細分領域處於國內領先。

今年1月,巨化股份與中芯聚源(中芯國際旗下投資基金)簽訂戰略框架協議,將共同出資設立平臺公司,推動國內電子化學材料產業併購整合,加快中國電子化學材料產業 的跨越式發展,滿足國產化需求。

  • 蘇州瑞紅(全稱:蘇州瑞紅電子化學品有限公司)

公司主要生產各種類型的光刻膠,用於大規模集成電路、液晶顯示器、彩色顯像管、分 立器件等製造中的光刻工序。其中RZJ-390系列光刻膠,不僅可以滿足TN、STN而且 可以滿足彩色STN、OLED、VFD的顯示器件的光刻製作。同時公司還生產各類配套試劑,如顯影液、漂洗液、清洗液、剝離液等高純試劑。公司擁有國內一流的光刻膠檢測評價設備,達到國際水平的生產線,符合現代微電子概念的淨化管理。現有主要產品佔有國內市場50%以上,與國內絕大多數微電子加工企業保持密切的產品合作關係。

  • 菲利華(全稱:湖北菲利華石英玻璃股份有限公司)

公司始建於1966年。經過近五十年的發展,現已發展成為國內外具有較大影響力和規 模優勢的石英材料及石英纖維製造企業,全球少數幾家具有石英纖維批量生產能力的制 造商,中國航空航天等國防軍工領域唯一的石英纖維供應商。公司致力於航空航天、半導體、太陽能、光纖通訊、光學等高新技術領域的配套服務,其產品技術的實現,始終 以上述領域的發展為目標,不斷追求技術和品質提升,以全面滿足客戶需求。

公司在半導體行業生產中使用的石英玻璃材料及製品包括:晶圓處理、蝕刻設備中的載 體材料、電絕緣材料、密封法蘭;在TFT-LCD平板顯示器生產中使用的光掩膜基板等; 公司2006年開始集成電路和TFT-LCD掩膜版用合成石英玻璃基板的研製生產,目前技術已經到位,也推出了一些產品。

  • 北京國瑞升(全稱:北京國瑞升科技股份有限公司)

北京國瑞升科技股份有限公司是一家專業從事超精密拋光材料的研究開發、生產經營的中日合資企業,設立於2001年6月,位於北京中關村科技園區,是北京市政府認定的 高新技術企業。公司2015年1月在新三板掛牌。

公司研製開發的超精密拋光膜(研磨紙)、研磨液、聚晶金剛石微粉和納米金剛石粉不僅填補了國內空白,而且產品性能達到或超過國際先進水平,性價比與國外同類產品相 比具有最佳優勢,產品在國內市場已替代國外產品,市場佔有率迅速提高,並已出口到香港、臺灣、韓國、日本和歐美等市場。

  • 新安納(全稱:上海新安納電子科技有限公司)

公司成立於2008年7月,是一家專門致力於電子級納米磨料和拋光液技術開發、生產、 銷售和服務的高科技企業,由中國科學院上海微系統與信息技術研究所聯合中外投資者 創辦。公司是國內技術領先的電子級膠體二氧化硅、藍寶石拋光液和硅片拋光液供應商, 產品質量和技術能力得到了國內外知名公司的認可。

四、大陸半導體進入密集投資期,設備和材料最受益

1、發展半導體產業,體現國家意志

2014年6月發佈《綱要》,發展集成電路上升至國家戰略。2014年6月,工信部發布《國家集成電路產業發展推進綱要》(以下簡稱“綱要”),將發展集成電路產業提升至 國家戰略層面,以最大力度扶持集成電路產業發展,掀起了集成電路產業投資熱潮。事實上,集成電路產業一直得到國家的政策扶持,比較有影響力政策是2000年的18號文和2011年的4號文。

設立大基金並加強金融支持力度,以保障《綱要》實施。2014年4月,國務院下達《關於國家集成電路產業投資基金設立方案的批覆》,9月國家集成電路產業投資基金(以下簡稱“大基金”)股份有限公司註冊成立。大基金成立之初共有國開金融、中國移動、 亦莊國投、紫光通信、華芯投資等8家發起股東,此後又有武漢經發投、中國電信、中國聯通、中國電子、大唐電信、武嶽峰資本、賽伯樂投資等7家機構參與增資擴股。基金共募得普通股987億元,此外基金在2015年一季度發行優先股400億元,基金總規模達到1,387億元,相比於計劃募集規模1,200億元,超募15.6%。

半導體設備和材料國產化機遇


目前全球每年半導體資本開支接近600億美元,而英特爾、臺積電、三星等巨頭每年的 資本開支均在100億美元左右,大基金的規模僅相當於上述公司中一家2年的資本開支;12英寸28nm工藝生產線造價高達1億美元/千片/月。因此以國家集成電路產業投資基金為槓桿,引領、吸引和撬動更大規模的資本投資中國半導體產業將能有效解決產 業的投資瓶頸。

除了大基金以外,多個地方政府、投資機構也成立或將成立扶持基金,推動集成電路行 業的發展。通過大基金、地方基金、社會資金以及相關的銀行貸款等債券融資,我們預 計,未來10年,中國半導體產業新增投資規模有望達到1萬億元水平。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


大基金的所有權為國家集成電路產業投資基金股份有限公司,唯一管理人為華芯投資管 理有限責任公司,託管行為國家開發銀行。基金的投資方式包括:私募股權、基金投資、夾層投資等一級市場投資和二級市場投資,不做風險投資和天使投資;退出則以回購、 兼併收購、公開上市等形式。

基金投資總期限計劃為15年,分為投資期(2014-2019)、回收期(2019-2024)、展期 (2024-2029)。按照規劃,2015-2018年為投資關鍵期,四年投資額分別為200億、240億、360億、240億元,佔 1,200億元總規模的87%。

2、市場需求和國家戰略扶持推動半導體產業快速發展

強勁的市場需求和國家政策的大力扶持是國內半導體產業快速發展的主要動力。中國大 陸是全球最大的半導體產品市場,主要原因在於大陸是世界工廠。目前,我國的電子信 息產業規模宏大,2015年我國規模以上電子信息產業總規模預計達到15.5萬億元,產量已經達到全球第一。以手機為例,去年我國共生產了18.1億部,智能手機達到14億部,增長都是10%左右。在計算機方面,我國去年共生產了3.14億臺,在電視方面, 共生產了1.45億臺,其中有8.4億臺是智能電視。電視機、電腦、手機、家電等電子 產品的主要生產基地位於大陸,因此,大陸消費了全球約一半的半導體產品。另一方面, 隨著大陸終端品牌廠商的崛起,大陸自有品牌廠商對半導體產品的需求量大增。根據調 研機構Gartner公佈的全球半導體總體有效市場(totalavailable market;TAM)統計數 據,2015年大陸品牌廠商在半導體芯片產品的採購金額上,已經成為僅次於美國的全球第二大客戶。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


集成電路是大陸進口額最大的產品。近年來,大陸地區的集成電路產品進口額不斷攀升, 近三年都超過 2,000億美元。集成電路和原油是大陸地區進口額最大的前兩種產品。根據海關統計,2015年大陸地區進口集成電路3,139.96億塊,同比增長10%;進口金額2,307 億美元,同比增長6%。出口集成電路金額693.1億美元,同比增長13.9%。2015年進出口逆差1,613.9億美元。由於油價大跌,雖然2015年原油進口量達到3.355億噸,創出歷史新高,同比上漲了8.8%;進口金額為8,332.8億元,同比下降40.5%。

半導體設備和材料國產化機遇


在市場需求和政策大力扶持下,大陸集成電路產業快速發展,規模不斷擴大。2015年 中國大陸集成電路產業銷售額為3,609.8億元,同比增長19.7%。2002-2015年,大陸地區集成電路產業規模的複合增長率CAGR為22.1%,遠高於全球同期的6.5%。按照《國家集成電路產業發展推進綱要》的要求,到2020年,集成電路產業與國際先進水平的差距逐步縮小,全行業銷售收入年均增速超過20%;到2030 年,集成電路產業鏈主要環節達到國際先進水平,一批企業進入國際第一梯隊,實現跨越發展。我們相信, 在國家政策扶持下,未來十年大陸地區半導體產業有望繼續保持較快增長速度。

半導體設備和材料國產化機遇


3、重金投入製造環節,上游設備和材料受益大

在集成電路的各個環節中,晶圓製造領域對資金的需求量最大,建設一條12英寸晶圓 生產線的資本支出往往達到數十億美元,將來甚至有可能超過百億美元。因此,大基金 將會把大部分資金投向製造環節。根據大基金的規劃,將把60%額度投資於晶圓製造 領域,其餘40%則投向設計、封裝、材料、設備等其他相關領域,那麼投向製造和封測領域的比例應在70%左右。以1,400億元為基準,意味著將有980億元投向製造和封測領域。根據公開信息,截至到目前,國家大基金已經投資了紫光集團、中芯國際、 長電科技、中微半導體、國科微、艾派克、三安光電等15家集成電路產業鏈相關的重 要企業。

截至2015年12月底,大基金累計決策投資28個項目,總投資承諾額度達到426億元, 實際出資262億元。在集成電路製造、設計、封裝測試、裝備和材料等各環節承諾投資 總額的比重,分別達到45%、38%、11%、3%和3%。

在芯片製造領域,大基金主要圍繞三個方面開展工作:一是關注先進工藝,大力支持了龍頭企業中芯國際,並加快了其12英寸生產工藝的建設;二是圍繞特色工藝,支持了杭州士蘭微8英寸生產線的建設;三是在化合物半導體領域,集中支持了三安光電建設。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


半導體設備在晶圓生產線的資本支出中佔比接近八成,且呈增長勢頭。按照平均水平, 晶圓代工廠的建設成本構成中設備佔比約80%,土地和廠務等佔約10%,人力成本佔5~10%。

隨著製程的進步,晶圓製造生產線的投資越來越高,且半導體設備在資本支出中比重越 來越大。假設12英寸90nm晶圓生產線的建設成本是20億美元,那麼12英寸20nm同樣規模的晶圓生產線的建設成本則高達67億美元。在90nm生產線的建設成本中設備佔比約70%,那麼20nm生產線中設備佔比為約85%,提高了15個百分點。在20nm的晶圓製造生產中的設備投資高達56.95億美元。

晶圓生產線中最重要最關鍵的半導體設備是光刻機(Lithography),其先進程度直接決 定了生產線的製程節點。目前全球只有荷蘭的ASML和日本的Nikon兩家可以提供先進的光刻機,因此,光刻機及相關設備在晶圓生產線的設備成本構成中佔比最高,約30%。此外,刻蝕(Etch)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、檢測(Metrology) 設備也是較為重要的設備,成本中所佔比例也較高。

半導體設備和材料國產化機遇


在晶圓加工過程中,晶圓生產線不僅需要開動設備,也需要不斷地消耗各種半導體材料 和化學品,比如硅片、拋光液、清洗液等。由於設備價格高昂,是晶圓生產線投資中所 佔比重最高,因此,在晶圓(Wafer)的製造成本中,設備和廠房的折舊佔比非常高。 以8寸線的晶圓製造成本為例,設備廠房折舊佔比為51.7%,備件及維護佔8.8%,人員工資佔9.5%,水電設施佔4.5%。材料和化學品在成本中的佔比約25%,其中又以硅片最為重要。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


4、設備與材料,是大陸產業鏈最薄弱的環節

受到國內“中國2025製造”、“互聯網+”等新世紀發展戰略的帶動,以及外資企業加 大在華投資影響,2015年中國集成電路產業保持高速增長。根據中國半導體行業協會 統計,2015年中國集成電路產業銷售額為3,609.8億元,同比增長19.7%。

集成電路設計業依然保持高速增長,2015年國內集成電路設計業銷售額1,325億元, 同比增長26.5%。中芯國際28納米產品的量產、上海華力的投產以及西安三星的產能 的逐漸釋放,2015年晶圓製造業增速達到了26.5%,比2014年的增速高出了8個百 分點,銷售額900.8億元。隨著長電科技收購星科金朋,南通富士通收購AMD封裝工廠等一系列整合,以及長電科技、通富微電、天水華天與晶圓代工線的戰略聯盟,使得國內封測業無論是產業規模還是最新的封裝技術都上了一個臺階,2015年國內封測業銷售額為1,384億元,同比增長10.2%。

半導體設備和材料國產化機遇


設計、製造和封測是集成電路產業的核心環節,近年來取得長足進步,在全球的市場佔 有率逐漸提高,尤其以封測的市場份額最高,達到40%。在這三個核心環節之外,晶圓製造和封測的上游設備和材料也不容忽視。大陸地區每年從海外進口約40億美元的半導體設備和約50億美元的半導體材料,隨著半導體產業向大陸轉移,大陸市場對半 導體設備和材料的需求越來越大。然而,國內的半導體設備和材料產業非常薄弱,2014年大陸地區的半導體設備和材料產值分別為9億美元和16億美元,在全球的市場份額分別為2%和4%,是半導體產業鏈中最薄弱的兩個環節。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


五、 設備與材料迎來國產化大機遇

1、大陸已建立全球有影響力的半導體制造和封測產業

中國大陸的集成電路產業起步於1965年,先後經歷了自主創業(1965~1980)、引進提高(1981~1989)、重點建設(1990~1999)、全面快速發展(2000~2015)四個發展 階段。經過50年的發展,從無到有,從小到大,已經形成了一定的產業規模,在國際上的競爭力日益提升。

截止2015年底,大陸已經建立了數十條晶圓生產線和封裝測試生產線。包括英特爾、 三星、海力士、德州儀器、臺積電、日月光、安靠等全球領先廠商也都在國內投資設廠。 晶圓製造方面,目前,國內共有8條12英寸生產線,總產能可達33萬片/月;15條8英寸生產線,以及20多條6英寸生產線。封裝測試是半導體產業鏈向大陸轉移最早的 環節,所以,目前大陸各地區建立了數十條封裝測試產線,佔據全球將近四成的產能。 一方面,海外的英特爾、AMD、德州儀器 TI、日月光、安靠等紛紛在大陸設廠;另一 方面,國內湧現出一批具備全球競爭力的封裝測試廠商,包括長電科技、華天科技、通富微電、晶方科技等,在國內建立了多條封測產線。

晶圓製造領域,中芯國際和華虹宏力是排名前十的晶圓代工廠,2014年二者的全球市 場佔有率為5.6%,若考慮海外企業在大陸的晶圓代工廠,大陸地區的晶圓代工市場佔 有率在8%左右。隨著半導體產業鏈向大陸轉移,以臺積電為代表的海外廠商加速在國內建廠,以及中芯國際為首的大陸晶圓代工廠持續擴建產線,大陸的總體增速高於全球, 市場佔有率將不斷攀升。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


封裝測試領域,大陸的長電科技、華天科技、通富微電、晶方科技等已經具備了全球競 爭力,2014年長電科技和華天科技都是全球前十大封測廠商。隨著長電科技併購星科金朋、通富微電收購AMD封測廠85%的股權,大陸地區在封測領域的規模僅次於中國臺灣地區,競爭力也大幅提升。

半導體設備和材料國產化機遇


2、投資建廠加速,設備和材料需求快速擴張

半導體產業鏈向大陸加速轉移,進入生產線密集建設期,帶動設備和材料需求增長。

隨著2014年《綱要》的發佈,以及大基金的成立,半導體產業鏈加速向大陸轉移,主 要體現在以下幾個方面:

1)收購海外資產。政府基金、上市公司、社會資本加入收購海外半導體資產的行列。2014年以來發生多起中資併購海外半導體公司的案例,包括紫光集團收購展訊和銳迪 科,長電科技收購星科金朋,武嶽峰資本收購芯成半導體等。

2)海外公司加大在大陸投資。為抓住大陸半導體發展機遇,獲得大陸優惠政策,海外 廠商紛紛加大大陸投資。包括臺積電、聯電、英特爾等將在大陸新建或擴建原有生產線。

3)大陸公司擴大規模。大陸地區的相關公司積極利用優惠政策和有利條件,加大投資 規模,提升競爭力。包括中芯國際、武漢新芯、華天科技等投資新建產線。

4)新公司出現。社會資本投資一些新半導體公司。包括淮安德科碼圖像傳感器項目等。

半導體設備和材料國產化機遇


根據公開資料及相關報道,我們整理了在大陸投資的晶圓生產線,初步測算,投資總額 約800億美元,這還不包括各大封測廠的投資。考慮到未來必然還有新的投資項目,預 計未來5~10年內大陸的生產線建設投資規模有望達到甚至超過1,000億美元。假設投 資額中的75%用於購買半導體設備,那麼設備的需求量(達到或超過750 億美元)也 相當可觀。與半導體設備市場相比,國內的半導體材料市場規模更大(2014年國內半 導體設備和材料市場分別為43.7億美元和58.3億美元)。合理推斷,未來國內的半導體材料市場規模也將不亞於同期的設備市場。預計到2020年,國內的半導體設備和材料市場規模將超過200億美元。由此可見,未來國內的半導體設備和材料市場蘊藏著巨大的投資機會。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


3、能力提升+客戶支持,由低端向高端實現進口替代

歷史原因造就半導體產業格局,完善的產業鏈對中國大陸半導體產業的發展至關重要。 首先我們簡單回顧一下半導體產業的拓展歷史。半導體產業在20世紀50年代中起源 於美國硅谷,之後經歷了三次轉移(或者說是“拓展”):第一次在20世紀70年代末, 從美國“拓展”到了歐洲和日本,造就了富士通、日立、東芝、NEC等世界頂級的集 成電路製造商;第二次在20世紀80年代末,韓國、我國臺灣地區和新加坡成為集成電路產業的主力,繼美國、日本之後,韓國成為世界第三個半導體產業中心;第三次是 在2000年前後,半導體產業鏈繼續向亞洲拓展,主要是向中國大陸、東南亞的馬來西亞等地轉移,中國大陸有望成為下一個半導體產業中心。

半導體設備和材料國產化機遇


以上所說的半導體產業轉移,主要體現在芯片設計、製造和封測環節。而在更上游的設備、材料和IP/EDA等環節,轉移並不明顯,依然集中在美、歐、日等地區。前文提到,2014年全球前十大半導體設備公司都是美歐日企業,合計佔全球市場份額的93.6%。 據SEMI推測,即使在日元升值的背景下,2014年日本企業在全球半導體材料市場上所佔的份額(按美元計算)也達到約52%。

造成這種局面主要原因在於,半導體設備和材料具有以下特點:

1)先發優勢很重要。在摩爾定律推動下,半導體生產技術快速進步,半導體技術起源 地的半導體設備和材料廠商擁有技術、客戶、資本等先發優勢。

2)需要強大的工業技術基礎。半導體工藝非常複雜和精細,一臺先進的光刻機設備的製造難度可以匹敵一架先進波音飛機的製造難度,沒有強大的工業技術基礎支持是難以開發和生產的。

3)需要政策和產業的支持。半導體設備和材料的技術和資金門檻很高,需要政府和下游產業的大力支持。

儘管臺灣地區在晶圓代工和封測領域位居全球第一,在芯片設計領域僅次於美國,但是由於以上原因,臺灣地區在上游的設備、材料和IP/EDA等領域卻相對薄弱。目前來看, 臺灣地區在半導體設備領域整體上還落後於中國大陸。

與中國臺灣地區面臨不一樣的國際形勢,發展自主可控的半導體產業鏈對大陸地區尤其重要,近期發生的中興通訊事件再次讓我們認識到這一點。因涉嫌違反美國對伊朗的出口管制政策,中興通訊在今年3月遭到美國商務部處罰。美商務部下令:限制中興通訊在美國的供應商向中興出口產品,該出口限令可能會切斷中興通訊目前系統設計的關鍵器件供應。儘管該事件目前有所緩和,但對中興通訊的影響確實深遠的,公司的管理層 因此發生巨大變動。

半導體設備和材料國產化機遇


02專項扶持國內設備和材料供應商技術進步,由低端向高端實現進口替代。在國家02專項的大力扶持下,目前國內已經形成較為完備的半導體設備和材料產業,逐步實現進 口產品的替代,一些高端設備具備了全球的競爭力,已經批量出口國際一線客戶。在技術要求相對較低的先進封裝和LED 領域,90%的設備已經可以實現國產化,且國產化 的採購比例在不斷提高。在技術要求最為苛刻的前道晶圓製造領域,目前還主要依靠進 口設備,僅有刻蝕、PVD、氧化爐、CVD、清洗、塗膠顯影等部分設備可以達到國際上最為先進的水平,滿足客戶的規模量產需求。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


中芯國際等下游客戶鼎立支持國內設備和材料廠商,幫助突破下游市場。複雜的半導體 工藝決定了半導體設備和材料的高難度、高門檻,下游客戶不會輕易更換供應商,造就 了相對穩定和封閉的供應商體系。相對於現有供應商,國內廠商非常弱小,如何贏得下 遊客戶的認可,並進入其供應體系是件棘手的問題。值得欣慰的是,以中芯國際、長電 科技、三安光電為代表的下游客戶願意扶持國內的設備和材料廠商發展壯大。今年4月8日在深圳舉辦的第四屆中國電子信息博覽會(CITE 2016)上,中芯國際CEO邱慈雲明確表示大力支持國產設備和材料的發展,並披露了一些重要進展。

在半導體設備方面:

1)中芯國際在發展中全力支持提升與強化屬地化的半導體產業鏈生態系統,致力 於提升國產設備的份額;

2)目前,中芯國際12英寸廠已經成功驗證10個設備種類,佔總的設備種類數的11%,未來有6個機臺類型正在驗證;

3)2010-2015年國產設備總採購額1.07億美元,2016年預計採購金額0.41億美元,採購額提升。

在半導體材料方面:

1)截止目前,51個國產材料已經被成功驗證並使用,預計到 2016年底會增長到60個,在8英寸和12英寸廠都有驗證成功並上線使用,2016年預估總國產材料 份額為13%;

2)2010年中芯國際購買了500萬美元的國內材料,去年達到 3400萬美元,今年 這個數字將超過4000萬美元;

3)中芯國際所用材料中56%是向國內企業採購,也推動了國內的高端材料與化學品產業的成功。

半導體設備和材料國產化機遇


在國內眾多半導體設備廠商中,產業界口碑最好,表現最為突出的是中微半導體設備有 限公司,因此也成為大基金投資的第一家半導體設備公司。中微半導體於2004年在上 海成立,主要研發和生產用於晶圓製造的介質刻蝕機和麵向LED領域的MOCVD設備。 截止2015年7月,中微實現電介質刻蝕反應臺交付量突破400臺(相當於100臺系統 設備)。中微已經實現量產65-10nm的電介質刻蝕設備,在與應用材料、LAM、TEL 等 國際巨頭競爭中不落下風。公司的主要客戶包括臺積電、英特爾、三星、中芯國際等全 球頂級晶圓生產商。根據中國電子專用設備工業協會的統計數據,2014年中微半導體 出口設備總額達3.35億元,在中國半導體前端製造和後端封裝設備的總出口、以及高 端關鍵設備總出口額中的佔比分別高達76%和95%。

國產半導體設備規模快速增長,預計 2015年增長率為25%。中國電子專用設備工業協 會統計顯示,2014年我國半導體設備行業35家主要製造商共完成39.63億元銷售收入, 同比增長31.5%;實現利潤8.48億元,同比增長13.8%;預計2015年銷售收入將達到50億元左右,同比增長25%。

4、海外併購+國內整合,加速設備和材料產業實現進口替代

2013年以來,中國大陸掀起一股收購海外半導體公司熱潮,前文已列舉了相關收購標的,包括紫光收購展訊和銳迪科,大基金協助長電收購星科金朋,武嶽峰收購芯成半導體等。成功案例中主要集中在芯片設計和封裝測試領域,而設備和材料領域的成功案例僅有北京亦莊國投旗下基金收購美國Mattson,且是2015年底才宣佈的。我們認為, 未來應有更多的收購發生在半導體設備和材料領域。主要原因如下:

1)大陸地區市場空間快速增長。半導體產業鏈向大陸最明顯的是製造和封測環節,大 陸地區已經進入製造和封測生產線密集建設期,未來大陸地區的半導體設備和材料投資額有望分別從目前的45億美元和58億美元,提高到100億美元和120億美元,市場空間成長1倍多。

2)大陸地區具備收購的條件。一方面,大陸地區已經擁有一定的半導體設備和材料產 業基礎,部分企業具備了較強的競爭力。另一方面,國內企業規模仍然較小,有必要通 過整合海內外廠商提升競爭力,獲取海外技術和市場。最後,在發展半導體產業的國家 戰略背景下,各類資本有足夠的動力去收購海外公司。

3)設備和材料領域收購難度相對較小。大陸地區的海外收購已經引起美國政府的高度 關注和警惕,近期美國海外投資委員會(CFIUS)接連阻礙了多起中資海外半導體併購, 包括紫光38億美元入股西部數據(WD),紫光集團230億美元收購美光,金沙江創投 收購飛利浦照明業務,華潤集團等併購仙童半導體等。相對而言,半導體設備和材料處 於產業鏈上游,基本上不直接涉及軍工等國家安全領域,所以被CFIUS 否決的可能性較小。另一方面,美國在半導體設備和材料領域的地位遠不及芯片設計領域,美國之外有許多優質標的可以收購,特別是在歐洲地區。

4)海外廠商有意願出售企業。全球半導體設備和材料市場基本無增長,且隨產業投資 週期波動異常大,中小廠商的盈利狀況並不好。先進生產線的投資額度越來越高,有能 力持續投資的廠商越來越少,意味著客戶在減少,半導體設備和材料供應商也將隨之減 少。高昂的研發投入,也是部分設備和材料廠商難以承受的壓力。因此,以不錯的價格 將公司出售給大陸地區的競爭對手,將是海外半導體設備和材料廠商的不錯選擇。

半導體設備和材料國產化機遇


除了海外併購,國內企業的強強聯合也是提升競爭力的一種有效手段。2015年以來, 南大光電收購北京科華微電子31.39%的股權,七星電子收購北方微電子,中微半導體入股瀋陽拓荊等,說明國內半導體設備和材料廠商也開始走向整合之路,未來國內有望出現具有全球影響力的大型設備和材料供應商。

半導體設備和材料國產化機遇


5、政策扶持,推動國內設備和材料產業快速發展

1) 02專項支持半導體制造技術水平提升

政策層面對國內集成電路產業技術提升幫助最大的是02專項。02專項,即:《極大規 模集成電路製造技術及成套工藝》項目,因次序排在國家重大專項所列16個重大專項 第二位,在行業內被稱為“02專項”,02專項在“十二五”期間重點實施的內容和目標分別是:重點進行45-22納米關鍵製造裝備攻關,開發32-22納米互補金屬氧化物半導體(CMOS)工藝、90-65納米特色工藝,開展22-14納米前瞻性研究,形成65-45納米裝備、材料、工藝配套能力及集成電路製造產業鏈,進一步縮小與世界先進水平差距, 裝備和材料佔國內市場的份額分別達到10%和20%,開拓國際市場。

國家科技重大專項介紹:中國國務院於2003年啟動中長期科技發展規劃的制定工作, 並於2006年完成發佈《國家中長期科學和技術發展規劃綱要(2006--2020年)》(以下簡 稱《規劃綱要》)。《規劃綱要》確定了核心電子器件、高端通用芯片及基礎軟件、極大規模集成電路製造技術及成套工藝、新一代寬帶無線移動通信、大型飛機、載人航天與 探月工程等十六個重大專項,完成時限為十五年左右,這些重大專項是我國到2020年科技發展的重中之重。這16個重大專項包括:核心電子器件、高端通用芯片及基礎軟件,極大規模集成電路製造技術及成套工藝,新一代寬帶無線移動通信,高檔數控機床 與基礎製造技術,大型油氣田及煤層氣開發,大型先進壓水堆及高溫氣冷堆核電站,水體汙染控制與治理,轉基因生物新品種培育,重大新藥創制,艾滋病和病毒性肝炎等重 大傳染病防治,大型飛機,高分辨率對地觀測系統,載人航天與探月工程,其中許多專 項受到國內外的高度關注。

2) 三大扶持政策促半導體設備國產化加速

為推動我國半導體設備產業發展,2014年以來國家相繼出臺了三大扶持政策。

一是《關於調整重大技術裝備進口稅收政策的通知》,對符合規定條件的國內企業為生 產國家支持發展的重大技術裝備而確有必要進口部分關鍵零部件免徵關稅和進口環節增值稅。在這方面,集成電路、LED、太陽能電池生產設備中的16項關鍵設備被列入其中。

二是《關於進一步鼓勵集成電路產業發展企業所得稅政策的通知》,規定對符合條件的集成電路專用設備企業,在2017年前實現獲利的,自獲利年度起,第一年至第二年免徵企業所得稅,第三年至第五年按照25%的法定稅率減半徵收企業所得稅。

三是《關於開展首臺(套)重大技術裝備保險機制試點工作的通知》,規定對於製造《目錄》內裝備,且投保“綜合險”或選擇國際通行保險條款投保的企業,中央財政給予保費補貼。實際投保費率按3%的費率上限及實際投保年度保費的80%給與補貼,補貼時間按 保險期限據實核算,原則上不超過3年。目前共有13項半導體裝備列入目錄。

2015年12月1日,財政部、國家發展改革委、工業和信息化部、海關總署、國家稅務總局、國家能源局發出《關於調整重大技術裝備進口稅收政策有關目錄及規定的通知》 (財關稅[2015]51號),決定對重大技術裝備進口稅收政策有關目錄和規定部分條款進行修訂。

半導體設備和材料國產化機遇


《國家支持發展的重大技術裝備和產品目錄(2015年修訂)》和《重大技術裝備和產品 進口關鍵零部件及原材料商品目錄(2015年修訂)》自2016年1月1日起執行,符合規定條件的國內企業為生產目錄所列裝備或產品而確有必要進口目錄所列商品,免徵關稅和進口環節增值稅。目錄中列明執行年限的,有關裝備、產品、零部件、原材料免稅執行期限截止到該年度12月31日。

3) 《國家集成電路產業發展推進綱要》扶持產業發展

2014年6月24日,工信部正式公佈《國家集成電路產業發展推進綱要》,對集成電路產業鏈各個環節給出明確的發展目標、重點任務。

在主要任務和發展重點中明確要突破集成電路關鍵裝備和材料。加強集成電路裝備、材 料與工藝結合,研發光刻機、刻蝕機、離子注入機等關鍵設備,開發光刻膠、大尺寸硅 片等關鍵材料,加強集成電路製造企業和裝備、材料企業的協作,加快產業化進程,增 強產業配套能力。

在發展目標中明確提到,到2015年,65-45nm 關鍵設備和12英寸硅片等關鍵材料在 生產線上得到應用。到2020年,關鍵裝備和材料進入國際採購體系,基本建成技術先進、安全可靠的集成電路產業體系。

在保障措施中,通過設立國家產業投資基金(大基金)扶持產業發展。大基金實行市場化運作,重點支持集成電路製造領域,兼顧設計、封裝測試、裝備、材料環節,推動企 業提升產能水平和實行兼併重組、規範企業治理,形成良性自我發展能力。支持設立地方性集成電路產業投資基金。鼓勵社會各類風險投資和股權投資基金進入集成電路領域。

半導體設備和材料國產化機遇


六、投資建議

1、半導體設備和材料龍頭公司盈利能力較強

在半導體各環節的龍頭公司對比中,半導體設備和材料公司的盈利能力較強,受到市場 青睞。在這裡我們對比了各個環節的龍頭的公司的營收規模、盈利能力和市值大小,包括設備龍頭應用材料和阿斯麥,材料龍頭信越化學和陶氏(半導體材料只是公司的部分業務),以及半導體IDM龍頭英特爾,IC設計製造龍頭臺積電和封測龍頭日月光。

半導體設備和材料國產化機遇


在營收規模上,半導體設備公司和材料公司並不是特別大,僅比IC封測龍頭日月光的規模大。在毛利潤率方面,設備和材料公司也處於中等水平。出人意料的是,在淨利潤 率方面臺積電和阿斯麥排名前兩位,這與二者的行業地位相關。臺積電在全球晶圓代工 領域市佔率超過50%,而阿斯麥在半導體行業最核心的設備——光刻機市場的市場佔有率高達80%。從市盈率的方面,阿斯麥和信越化學排名最高,說明市場對半導體設 備和材料龍頭青睞有加。

在市值方面,阿斯麥的市值達到424億美元,應用材料和信越化學市值也在243億美元,皆超過1500億元人民幣。反觀國內的設備和材料廠商,多數公司的市值都沒有超 過150億元,潛力巨大。

2、國內發展半導體設備和材料產業的邏輯

巨大的進口替代市場、國家的信息安全、國內產業的升級是國內半導體產業發展的主要 邏輯,也是國家將半導體產業上升到國家戰略的重要原因。信息安全需要自主可控的集 成電路產業:自主可控的產業必須做到知識產權自主可控、能力水平自主可控、供應鏈 自主可控、具備“國產”資質、利潤不受制於人。因此,我們需要有強大的、自主可控 的半導體設備和材料產業。當前,國產設備和材料無法滿足國內產業發展的需要,國內90%以上的半導體關鍵設備和材料依賴進口,發展國產設備和材料的任務十分艱鉅。

我們認為國內發展半導體設備和材料的主要邏輯如下:

1)進口替代。當前國內每年半導體設備和材料的市場需求超過100億美元,進口額超過90億美元。隨著半導體產業向大陸轉移,大陸的半導體生產線建設密集開工和投產, 對半導體設備和材料的需求越來越多,每年的市場規模有望超過200億美元。

2)產業安全。由於關鍵設備和材料嚴重依賴進口,一旦海外供應鏈出現問題,國內的 半導體產業將無法運行。舉個例子,目前大陸的12寸硅片百分百依賴進口,一旦無法 從海外進口12寸硅片,國內的12寸芯片製造工廠在用完庫存硅片之後將被迫停產。

3)較高的投資價值。半導體設備和材料處於產業鏈的上游,龍頭企業擁有較好的盈利 水平,具有較高的投資價值。

4)收購與整合。國內已經具有較為完善的半導體設備和材料產業鏈,通過整合與併購 可以提高龍頭企業的競爭力。全球龍頭公司的發展歷程也說明,兼併重組是公司發展壯 大的必要手段。

3、國內主要半導體設備和材料上市公司及重點推薦

在A股和新三板有30多家半導體設備和材料相關上市公司,在表23中列出了主要公司及其相關產品和應用領域。

國內的半導體設備和材料進入快速發展階段,經過深入分析,我們強烈推薦圍繞化合物半導體材料設備產業鏈佈局的

三安光電以及半導體設備公司七星電子、大族激光,重點 推薦半導體材料和化學品公司上海新陽、興森科技、南大光電。此外還建議關注晶盛機 電、蘇大維格、太極實業、巨化股份、三環集團、鼎龍股份、飛凱材料、強力新材、光 華科技、菲利華等(關注公司部分為招商證券其他行業覆蓋)。強烈推薦的半導體設備公司是七星電子、大族激光,重點推薦的半導體材料和化學品公司是三安光電、上海新陽、興森科技、南大光電。此外還建議關注晶盛機電、蘇大維格、太極實業、三環集團、 巨化股份、鼎龍股份、飛凱材料、強力新材、光華科技、菲利華等。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


七、風險提示

全球而言,半導體行業逐步走向成熟,市場規模增長放緩。全球唯有中國大陸市場可以保持快速增長,成為半導體產業投資熱土。半導體產業具有較為明顯的週期性,若全球行業景氣長期處於低景氣度下,大陸地區的投資難免會受到影響。此外,美國及相關國 家或地區的半導體產業政策也會影響到大陸地區的產業發展。因此,我們認為半導體設 備和材料產業發展的風險因素主要有:

1)全球行業景氣度下滑,各大公司盈利能力減弱,導致產業資本支出規模減少。

2)大陸地區政府扶持力度減弱,部分投資項目因各種原因無法按計劃實施。

3)大陸半導體設備和材料廠商的技術進步無法滿足市場需求,及市場開拓低於預期等。

4)海外併購或國內整合進度及效果低於預期等。

半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


半導體設備和材料國產化機遇


轉載聲明:本文由招商證券電子團隊提供,系SIMTAC公眾號編輯轉載,轉載目的在於構築行業分析、信息分享平臺,傳遞更多資訊,並不代表SIMTAC公眾號贊同其觀點和對其真實性負責。如涉及作品內容、版權和其它問題,請在30日內與SIMTAC公眾號聯繫,我們將在第一時間刪除內容!SIMTAC公眾號文章版權歸原作者所有,內容為原作者觀點,SIMTAC公眾號只提供轉載並不構成任何投資及應用建議。SIMTAC公眾號擁有對此聲明的最終解釋權。


分享到:


相關文章: