中國的光刻機現在達到多少納米了?

ann-安大官人


光刻機是什麼?

光刻機是製造微機電、光電、二極體大規模集成電路的關鍵設備。光刻機可以分鐘兩種,分別是模板和圖樣大小一致的contact aligner,曝光時模板緊貼芯片;第二是類似投影機原理的stepper,獲得比模板更小的曝光圖樣。

曝光機在晶圓製作過程中,主要是利用紫外線通過模板去除晶圓表面的保護膜的設備。

一個晶圓可以製作出數十個集成電路,根據模版光刻機分為兩種:模版和晶圓大小一樣,模版不動。第二種是模版和集成電路大小一樣,模版隨光刻機聚焦部分移動。其中模版隨光刻機移動的方式,模版相對曝光機中心位置不變,始終利用聚焦鏡頭中心部分能得到更高的精度。目前,這種方式是主流方式。因此,光刻機對於集成電路的生產非常重要。

目前全球能夠製造和維護需要高度的光學和電子工業基礎技術的廠家,全世界只有少數廠家掌握光刻機技術。例如ASML、尼康、佳能、歐泰克、上海微電子裝備、SUSS、ABM,Inc等。因此,光刻機的價格昂貴,通常在3千萬到5億美元。

中國目前做光刻機的主要有上海微電子裝備有限公司、中子科技集團公司第四十五研究所國電、合肥芯碩半導體有限公司、先騰光電科技、無錫影速半導體科技。其中,上海微電子裝備有限公司已經量產的是90納米,這是在中國最領先的技術。其國家科技重大專項“極大規模集成電路製造裝備與成套工藝專項“的65nm光刻機研製,目前正在進行整機考核。

對於光刻機技術來說,90納米是一個技術臺階;45納米是一個技術臺階;22納米是一個技術臺階……90 納米的技術升級到65納米不難,但是45納米要比65納米難多了。

路要一步一步走,中國16個重大專項中的02專項提出光刻機到2020年出22納米的。目前主流的是45納米,而32納米和28納米的都需要深紫外光刻機上面改進升級。

用於光刻機的固態深紫外光源也在研發,我國的光刻機研發是並行研發的,22納米光刻機用到的技術也在研發,用在45納米的升級上面。

有種說法是,國外的高端光刻機對大陸禁售。目前,荷蘭的ASML則擁有全球晶圓廠光刻機設備高達8成的市場份額,在乾式曝光機、浸潤式光刻機,EUV(極紫外線光刻機)的市場幾乎處於獨霸地位,臺積電、三星、英特爾等國際半導體巨頭都是其客戶。但是,據傳聞,中國只能買到ASML的中低端機。

去年年底,有消息傳出,ASML中國區總裁金泳璇在接受媒體(DIGITIMES)採訪時正式澄清,ASML對大陸晶圓廠與國際客戶一視同仁,只要客戶下單,EUV要進口到中國完全沒有任何問題。在交期方面,所有客戶也都完全一致,從下單到正式交貨,均為21個月。

他還透露,目前已有大陸晶圓廠巨頭與ASML展開7納米工藝製程的EUV訂單洽談,2019年大陸首臺EUV可望落地。

至於消息能夠為真,還要看未來兩年中國晶圓市場的發展。


鎂客網


光刻機與刻蝕機都是芯片製作過程中必須使用的高端機器,芯片的設計我國發展得很好,差距不大,就是流片,因國外的封鎖我國勉強僅能做到24納米,但是華中科技大學的甘棕鬆開劈了一條與阿斯麥完全不同的技術路線可以做到9納米!甚至是1納米!注意:最重要的是繞過了阿斯麥的專利路線獨創超分辨光刻!而且成本僅阿斯麥的幾分之一!清大光刻機雙工件臺系統樣機2016年已通過驗收了。國產世界一流的光刻機正在路上了!


老貓15495


2016年底,華中科技大學國家光電實驗室目前利用雙光束在光刻膠上首次完成了 9nm 線寬,雙線間距低至約 50nm 的超分辨光刻。未來將這一工程化應用到光刻機上可以突破國外的專利壁壘,直接達到 EUV 的加工水平。

2014年10月瑞典皇家諾貝爾獎委員會決定將當年的諾貝爾化學獎授予打破光學衍射極限發明超分辨率光學顯微技術的三位科學家,以表彰他們在超分辨率光學成像方面的卓著貢獻。其中斯蒂芬·黑爾教授發明的STED超分辨技術採用二束激光,一束激發激光(Exciting Laser Beam)激發顯微鏡物鏡下的熒光物質產生熒光,另外一束中心光強為零的環形淬滅激光(Inhibiting Laser beam)淬滅激發激光產生的熒光。這兩束光的中心重合在一起,使得只有處於納米級環形淬滅激光中心處的熒光分子才能正常發光,通過掃描的辦法就可以得到超越衍射極限的光學成像。

遵循這個思路,華中科技大學國家光電實驗室的甘棕松教授在國外攻讀博士學位期間,採用類似方法在光刻製造技術上取得進展,成功突破光學衍射極限,首次在世界範圍內實現了創記錄的單線 9nm 線寬,雙線間距低至約 50nm 的超分辨光刻。未來將這一技術工程化應用到光刻機上,能夠突破光學衍射極限對投射電路尺寸的限制從而實現超分辨光刻,有望使國產集成電路光刻機擺脫一味採用更短波長光源的技術路線。

採用超分辨的方法突破光學衍射的限制,將光聚集到更小的尺寸,應用到集成電路光刻可以帶來兩個方面的好處:一方面可以實現更高的分辨率,不再需要採用更短波長的光源,使得光刻機系統造價大大降低;另外一方面採用可見光進行光刻,可以穿透普通的材料,工作環境要求不高,擺脫 EUV 光源需要真空環境、光刻能量不足的羈絆。

與動輒幾千萬美元的主流光刻機乃至一億美元售價的 EUV 光刻機相比,超分辨光刻硬件部分只需要一臺飛秒激光器和一臺普通連續激光器,成本只是主流光刻機的幾分之一。該系統運行條件比紫外光刻溫和得多,不需要真空環境,不需要特殊的發光和折光元器件,和一般光刻系統相比,該系統僅僅是引入了第二束光,系統光路設計上改動比較小,光刻機工程化應用相對容易,有希望使國產光刻機在高端領域彎道超車、有所突破。


豆豆都飛


要看是實驗室還是量產,實驗室隨便吹都行,量產的話,0.35um都沒聽說有的,也就是8寸線,沒聽有量產的,最快都是驗證中,機臺穩定性可靠性要求高。不從事半導體的天天喊追這個趕那個的,都是鍵盤俠。因為都不懂半導體,我想只有飛機發動機和半導體像,都是需要日積月累積累經驗的,半導體比發動機還要複雜落後的多。有人說航空發動機我們不是造出來了嗎?出來了就大臉了,說這種話的人更能說明是門外漢,航發不需要什麼可靠性,就玩一次就報廢了,飛機發動機能飛一次就換新的嗎?半導體也一樣,做出的芯片要求可靠性,壽命要做到幾年不出問題,如果你買個手機,用了三天掛了,是不是得罵人,軍事金融電力各行各業都要求芯片的可靠性,半導體就是要求日積月累一步一步積累的,沒有捷徑可走。天天想著彎道超車,國外大公司一年投資研發費用都是幾十幾百億美金,國內的公司是幾億美金,別人是一天24小時工作,我們還能把時間變成一天48小時?重要的是踏踏實實做事,越想投機差距就會越大,如果政策到位,結合市場地位,縮小差距還是沒問題的。


淺藍銫2


ASML怎麼可能突然宣佈願意給中國出售EUV了,肯定是國內光刻機技術有了大的突破!另外在刻蝕機方面,國內中微半導體的5nm級別等離子刻蝕機似乎已經開始交付芯片製造商了,中微創始人說交付刻蝕機後大約5年就能看到5nm芯片量產上市了


心息相戀


國產光刻機還停留在技術攻關階段,真正能夠用於芯片生產的193,EUV等正統光刻技術,離真正上線生產還有很長距離。作為一臺光刻機,需要考慮的不僅是分辨力,還有效率,穩定性等多個關鍵指標,即使目前國內光刻機通過技術攻關達到線寬指標,其他方面還有很大差距。悲哀的是,我國目前投入很大力量去做高端前沿,而基礎部分,i線微米級的光刻機都沒有實現上線量產。

其他STED,雙光子,表面等離子體這些所謂的前沿手段,也就搞搞研究,發發文章,做一些特殊器件,很慢實現真正上線。

要提高我國光刻機水平,還需要一步一個腳印,進行合理整體佈局。


唐唐227061830


在芯片產業上我相信用不了幾年我們就能追上,因為國人聰明呀,從十幾年前我就認為中國體育行業裡面有哪些有利於我們的項目,首先是乒乓球跳水羽毛球體操等優勢項目我就不說了,就說斯諾克,我當時就說早晚會有中國冠軍的,結果沒兩年就出了個丁俊暉,大家發現沒有這些優勢項目有一個共同點,就是動腦子高於動體力,或者說,這些項目對身體素質的要求沒有太高!我們天生就是動腦子的,華人智商全球最高!俄羅斯人說過,軍工武器不敢給中國人看,中國人看了就能懷孕,轉過年就能生產!給印度不怕看,手把手都教不會!所以在技術方面我們不怕任何人,早晚全給他們幹趴下!加油我的國


歸人雨巷


光刻機還不如電磁放出來的電磁力線把磁力調整成圓的,用催化劑製成的激光讀取刻錄磁力圓球體,把電磁圓球體分成360度,在分成分,在分成秒,把度分秒虛擬成多少個平面,錄製上去那該多好呀!說白了也就是地球空氣中磁力線通過磁斥力壓在萬米高空以下錄製地球中的事物,通過星星呀太陽呀月亮呀!讀取圖像和聲音,也就是地球原理的一部分,嘻嘻嘻!!坳球還有別的原理我就不知道了。地核裡有計算機!空氣中有計算機!與光有關係!現在各國家研製的大型計算機有天線,都可以收錄得到的,希望您理解我!嘻嘻嘻!!


山165034357


達到生產銷售級別的是90納米光刻機,不過有一臺9納米的在試驗樣機階段,(來源於新聞上的信息)。國際市場銷售佔有率荷蘭在45納米往上是佔百分之八十的市場份額,7納米的只有它一家。該技術囊括了德國,日本,美國瑞士等多個國家提供的最前沿技術支持。荷蘭在該產品中重點負責組裝。各負其責,所以該產品叫——萬國牌。


塵飛揚007


中國現在還沒有光刻機,大家還要努力,期待中國自主製造光刻機的那一天



分享到:


相關文章: