半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

獲取報告請登陸未來智庫www.vzkoo.com。

(報告原文94頁,近5萬字,無法全文展示,以下內容為精簡內容。)

報告綜述:

1. 半導體晶圓製造產能向中國轉移,國內半導體制造材料迎來發展機遇

半導體制造材料包含硅片、光刻膠、光掩膜、濺射靶材、CMP 拋光材料、溼化學品、電子特氣、石英材料等。近年來,半導體晶圓製造產能持續向中國 轉移,國內各地加碼晶圓產能規劃,我們判斷國內半導體制造材料行業已經 進入快速上行趨勢,主要邏輯有三:1、下游市場不斷增長,IC Insight 預測 2018-2022 市場年均複合增速高達 14%;2、本土企業技術突破加速,個別細 分領域產品性能達國際先進水平,國產化率不斷提高;3、政策端持續大力支 持半導體相關材料領域發展,包括大基金、02 專項在資金和技術上的支持。

2. 硅片:材料市場佔比最高,大硅片發展空間大

硅片在半導體制造材料細分子行業中市場佔比最高,2018 年全球硅片市場規 模達 121.2 億美元。半導體制造所用硅片以 8 英寸和 12 英寸為主。目前 12 英寸硅片國產化率僅約 13%,隨國內總需求提升及硅片國產化率提高,12 英 寸硅片行業將實現快速增長;8 英寸硅片下游終端對應的汽車電子及工業應 用半導體領域目前快速發展,將推動 8 英寸硅片需求進一步上行。

3. 光掩膜及光刻膠:光刻技術關鍵材料,國產替代待進一步突破

光掩膜及光刻膠(i 型、g 型、KrF 型和 ArF 型光刻膠)是光刻環節中的關鍵 材料,2018 年對應全球市場分別為 17.3、40.4 億美元。二者市場主要為日本 及歐美企業壟斷,國產化率水平低。以光刻膠行業為例,對應主流製程的 KrF 型光刻膠國產化率僅 5%,ArF 型光刻膠基本依賴進口。行業內已有多家公司 開展相關研發和產業化項目,預計兩種材料將在未來加快國產替代進程。

4. 濺射靶材:發展較快,國內產品達領先製程要求,國產化率高於 30%

濺射靶材如銅靶、鉭靶、鋁靶等主要應用於半導體制造過程中的金屬濺射環 節,2018 年全球市場為 8 億美元。經我們測算,半導體濺射靶材國產化率高 於 30%,目前國內企業產品性能已滿足國際領先半導體制程要求,未來可實 現大批量供貨。受益於晶圓廠產能提升,國產替代進程推進,預計行業將持 續發展。

5. 電子特氣、CMP 拋光材料、溼化學品:20%左右國產化率,國產替代將持 續推進

電子特氣(如高純度 SiH4、PH3、AsH3、N2O、NH3、SF6、NF3、CF4、BCl3、 BF3、HCl、Cl2 等)、CMP 拋光材料(CMP 拋光液及拋光墊)、溼化學品(超淨 高純試劑和功能性材料等)三個細分子行業 2018 年全球市場分別為 42.7、 21.7、16.1 億美元。除 CMP 拋光墊國產化率水平仍較低,其餘幾種材料均已 實現一定程度的國產替代,電子特氣、CMP 拋光液、溼化學品國產化率分別 約為 25%、20%、20%,部分產品可達國際領先製程水平對應技術要求。在下 遊市場不斷擴大,技術壁壘實現突破,國產化率取得進展的背景下,我們預 計電子特氣、CMP 拋光材料、溼化學品的國產替代將持續推進,實現行業快 速發展。

6. 石英材料:貫穿半導體制造全程,下游半導體、光通訊、光伏產業發展將 推動行業快速上行

石英材料(石英鐘罩、石英管、光掩模基板、石英環、石英清洗箱、石英花 籃、石英舟等)是半導體制造的重要材料,其應用貫穿晶圓製造全程。半導 體用石英材料目前國產化率低,市場幾乎為國外公司壟斷。受益於下游半導 體產能轉移、5G 光纖需求增長、光伏產業持續發展,石英材料行業有望加速 進口替代,進入快速上行趨勢。


1. 隨半導體制造產能向中國轉移,半導體制造材料市場大幅增長, 行業迎來國產替代上行機遇

1.1. 半導體制造材料:半導體產業發展基石

半導體制造材料是半導體制造過程中所需的材料,包含硅片、光刻膠、光掩膜、濺射靶材、CMP 材料、電子特氣、溼化學品、石英等細分子領域。半導體加工分為芯片設計、芯片製造和封裝測試三個環節,半導體芯片製造過程中,所有工藝均在硅片襯底上進行,具體工藝包括前期硅片準備、薄膜氧化/沉積、化學機械研磨、光刻、刻蝕或離子注入、去光刻膠 等步驟,以上步驟組成一個循環。一般半導體制造需要經過十幾至幾十次循環才可全部加工完畢,進入下一輪的封裝測試環節。

半導體制造流程及對應材料應用如圖 1 所示。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

1.2. 2016 年來全球半導體市場持續增長,半導體材料市場快速發展

自 2016 年新一輪半導體週期以來,主要受通信和數據處理市場驅動,全球半導體市場持續增長。2018 年全球半導體市場達 4664 億美元,同比增速達 13 %。

根據德勤諮詢和普華永道分析,2019 年,由於通信和數據處理應用市場增長乏力,全球半導體市場增速放緩。但預計 2020 年-2022 年受汽車和工業半導體應用市場增長拉動,全球半導體市場將會進入新的一輪上行週期。如圖所示,根據普華永道諮詢數據,2019 至2022 年,通信和數據處理依然佔據半導體下游應用市場中主要地位,預計至 2022 年,二者市場共計將達 3650 億美元,佔下遊全部市場的 63.5 。增速方面,2018-2022 年間以汽車和工業應用半導體市場增幅最快,CAGR 分別為 12.14 和 10.67 ,同時二者的市場放量絕對數值也為所有細分應用中最高,預計 2018-2022 年汽車和工業應用半導體市場將分別放量 250 億和 270 億美元.

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

……

根據 SEMI 統計,2018 年全球半導體材料市場為 322.3 億美元,其中硅片、光掩膜、光刻膠和光刻膠輔助材料、溼化學品、電子特氣、濺射靶材、CMP 拋光材料市場分別為 121.2、40.4、39.6、16.1、42.7、8、21.7 億美元,其中以硅片市場最大,市場佔比最高。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等


半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

1.3.受益於半導體產業鏈轉移、終端半導體市場增長,中國半導體制造材料行業快速發展

從供需結構來看來看,半導體制造材料的需求市場與硅晶圓產量直接相關,硅晶圓產量又 是晶圓廠產能與產能利用率二者的乘積。因此在晶圓廠產能利用率高位較穩定運行的情況下,預計半導體硅晶圓產能快速增長,將推動半導體制造材料需求市場上行。我們認為半導體制造材料市場增速與國內晶圓廠產能增速相近。此外,隨半導體制程提高,光掩膜和CMP 材料等部分材料在製造過程中的需求用量也將進一步上升,因此預計光掩膜和 CMP 材料等下游市場需求增速在晶圓廠產能增速的基礎上,可能還有進一步提高。

總體而言,受益於半導體制造產業鏈向中國轉移,及 5G、汽車電子、工業應用等半導體終端市場上行,我們預計國內半導體制造材料市場將以較高速度增長,迎來快速發展機遇。

1.4. 中國半導體制造材料行業處於起步階段,國產替代空間大,國家政策支持將推動產業發展

目前,全球半導體制造材料基本為美日公司壟斷。如全球硅片市場中,日本信越化學、日 本 SUMCO、德國 Siltronic、中國臺灣環球晶圓、韓國 SK Siltron 市場份額分別為 27.58 、24.33 、14.22 、16.28 、10.16 ,共佔據超過 90%市場份額;光刻膠市場則主要由日本合成橡膠、東京應化、美國陶氏、住友化學、富士膠片壟斷;CMP 材料主要由美國陶氏、卡伯特微電子、日本 Fujimi 壟斷等。

在美日公司佔據優勢的情況下,我國半導體制造材料市場國產化率目前處於較低水平。目前 12 英寸硅片國產化率僅約 10 ,深紫外型光刻膠基本依靠進口;濺射靶材國產化率處於30-40%之間;拋光材料中,拋光液國產化率約 20%,拋光墊目前僅有一家公司可生產,基本依靠進口;電子特氣國產化率約 25%;溼化學品國產化率約 25 。目前半導體材料國產率均較低,未來國內公司突破技術壁壘,實現對應產品量產後,國內半導體制造材料產品有望替代進口產品,實現行業的快速發展。

國內半導體制造材料除用量方面國產化率較低外,技術水平與半導體最先進製程對應要求也存在一定差距。半導體制程為晶體管中兩個柵極之間的距離。一般而言,隨製程降低, 晶體管體積縮小,相同芯片面積內的晶體管數量增多,芯片處理速度增高,半導體器件的性能提高。目前世界上最先進晶圓製程水平可達 7nm。國內最先進製程為 28nm,根據目前研發進度,我們預計 14nm 芯片可在 2020-2021 實現批量供應。目前國內光刻膠、光掩膜、CMP 拋光液最高分別達 100nm、30nm、28nm 製程對應性能要求,濺射靶材、部分電子特氣和溼化學品品種可達 28nm 以下製程對應性能要求。

半導體制程的提高也提高了製造工藝對半導體制造材料要求。隨著半導體制程的提高,光刻膠由 i/g 型光刻膠過渡至 KrF 型光刻膠再過渡至 ArF 型光刻膠;光掩膜的控制圖形線寬增加,圖案處理技術更加複雜;電子特氣對純度和雜質含量的要求益發苛刻;濺射靶材由鋁、鈦靶材過渡至銅、鉭靶材再過渡至最新的鈷靶材;CMP 材料對金屬拋光材料的需求不斷上升,其中對銅、鎢拋光材料的需求不斷增高;溼化學品對純度的要求越來越高。

從技術水平上看,除硅片和濺射靶材目前國內公司可生產對應最新制程的產品外,其他幾種製造材料,我國或無法生產、或僅有少數品種可達到最新制程對應技術水平。其中光掩膜、電子特氣、CMP 材料和溼化學品,目前國內產品可達到主流製程對應技術水平。而光刻膠領域,目前主流製程對應技術水平的產品國內依然處於主要依靠進口的階段。具體情況如表所示。

在國產化率和國內產品技術水平均有成長空間的情況下,國家為扶持集成電路相關產業鏈, 也出臺了一系列政策,先後頒佈了《鼓勵軟件產業和集成電路產業發展若干政策》《中國 集成電路產業發展推進綱要》《關於進一步鼓勵軟件產業和集成電路產業發展企業所得稅 政策的通知》等政策。《國家集成電路產業發展推進綱要》中,明確提出要突出芯片設計- 芯片製造-封裝測試-裝備與材料全產業鏈佈局。

……

綜合來看,目前國內半導體制造材料行業國產化率較低,行業總體處於起步階段。在半導體制造材料下游市場高速發展,行業國產替代空間巨大,國家政策不斷加碼支持的推動下, 未來中國半導體制造材料即將迎來新一輪的發展機遇,開啟快速上行週期。下文我們將分別對硅片、光刻膠、光掩膜、濺射靶材、CMP 材料、電子特氣、溼化學品和石英材料幾個細分子領域進行逐一分析。

2. 硅片:12 英寸硅片國產發展空間大,8 英寸硅片將受益於終端市場需求上行

2.1. 大硅片:半導體制造基礎材料,以 8 英寸、12 英寸硅片為主流

半導體硅片均為單晶硅,硅片純度要求高,為 99.9999999 (9N)以上;半導體硅片表面的平整度、光滑度以及潔淨度要求高,需要經過後續的研磨倒角、拋光、清洗等環節。半導體硅片的高規格要求使得其製造工藝複雜,四大核心步驟包括多晶硅提純與多晶硅料的鑄錠、單晶硅生長以及硅片切割成型。作為晶圓製造的原材料,硅片質量直接決定了晶圓製造環節的穩定性。

目前製備單晶硅片的方法主要為查克洛斯基法(Czochralski method,CZ 法),且只有該方法能夠做出直徑大於 8 寸的晶圓,該方法成本較低,因為它能夠使用晶體碎片和多晶硅, 並且能夠將摻雜化物通過與硅一起熔化及凝固而生長除高摻雜的單晶硅。CZ 法包括裝料、融料,晶籽與熔硅的熔接、引細頸、放肩、等徑生長、收尾、切片、平坦化與腐蝕等步驟。當單晶棒鋸切完成後,利用機械方式將晶圓邊緣磨光,並將切片過程中造成的鋒利邊緣磨圓,圓的邊緣可以避免晶圓製造過程中的機械處理時形成缺口或碎裂。接著晶圓使用傳統的研磨料進行粗磨拋光,除去大部分由晶圓切片造成的表面損傷,並同時形成平坦的表面以滿足光科技術的需要。然後用溼法刻蝕除去鋸切過程、邊緣磨圓和研磨中造成的損傷。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

硅片產品按照加工工序可分為拋光片、退火片、外延片、節隔離片和絕緣體上硅片五大類產品。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

按尺寸大小分類,目前主流硅片可分為 6 英寸、8 英寸、12 英寸硅片。8 英寸硅片主要應用於汽車電子、工業電子、移動通信、物聯網;12 英寸硅片主要應用於智能手機、計算機、雲計算、人工智能、固態存儲硬盤等。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

隨時間推移,硅片尺寸持續增高的邏輯在於,芯片設計絕大部分是矩形的,而用於加工的 晶圓都是圓形的,所以在邊角上光刻的電路後期會被切掉廢棄。硅片越大,容納的芯片越 多,廢棄切除的比例也就越少,因此可以提高硅片利用率並降低成本。根據 Chen-Fu Chien 等人發表在《Computers & Industrial Engineering》上的論文,以 OWE 指數比較不同晶圓尺寸的利用效率,硅片尺寸越大,利用效率提升越明顯。此外,為了提高生產效率降低成 本,大尺寸硅片越來越多被使用,尺寸增大後,在單片硅片上製造的芯片數目會越多,由於每次光刻的成本較為固定,單個芯片的製造成本可因此得以下降。

2.2. 2016 年來受益於半導體產業增長,全球硅片出貨量和價格持續上行; 受益於晶圓產能轉移,中國硅片市場增幅高於全球

受益於半導體行業及晶圓產能增長,2016 年來,全球硅片出貨量和價格持續上行。2016-2108 年,全球半導體硅片銷售金額從 72.09 億美元增長至 113.81 億美元,CAGR為 25.65 ;半導體硅片出貨面積從 10738 百萬平方英寸增長至 12732 百萬平方英寸, CAGR 為8.89 ;半導體硅片銷售單價從 0.67 美元/平方英寸上升至 0.89 美元/平方英寸, CAGR 為 15.39 。新一輪半導體週期以來,半導體硅片出貨量、價格和市場持續上行。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等


半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

根據 IC Insight 對於未來中國大陸晶圓廠產能發展的預測,2022 年中國大陸晶圓廠產能將達 410 萬片/月,佔全球產能 17.15 。2018-2022 年中國硅晶圓產能的年均複合增長率達14%。預計隨晶圓廠產能持續向中國轉移,中國硅片市場還將進一步高速增長。

2.3. 國內在建晶圓廠中以 12 英寸晶圓產能佔比更大,預計將拉昇 12 英寸硅片需求;汽車電子和工業應用半導體終端需求提升將刺激 8 英寸硅片市場發展

從目前主流的 8 英寸硅片和 12 英寸硅片兩個品類來看,2016-2018 年二者出貨量均實現了快速增長。2016-2018 年,受益於汽車電子、智能手機用指紋芯片、液晶顯示器市場需求快速增長,8 英寸硅片出貨面積從 2690 百萬平方英寸上升至 3278 百萬平方英寸, CAGR 為 10.39 ;2016-2018 年,受益於人工智能、區塊鏈、雲計算等市場發展, 12 英寸硅片出貨面積從 6817 百萬平方英寸上升至 8005 百萬平方英寸,CAGR 為 8.36 。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

……

在下游市場增速相對值和增長放量絕對值都較高的情況下,8 英寸晶圓廠產能增速較低, 我們預計將會帶來對應晶圓供需的結構性不平衡,導致 8 英寸硅晶圓價格上行。晶圓價格上行可增厚對應晶圓廠利潤,從而提升最上游 8 英寸硅片議價能力,利好該細分子行業發展。

我們預計終端需求上升將首先利好中游的 8 英寸晶圓廠,導致對應晶圓廠產能利用率提升,並推動 8 英寸硅晶圓價格上漲,增厚晶圓廠利潤。而後預計該利好因素(採購量提升,議價能力增強)可進一步向上游傳導至 8 英寸硅片廠商,拉昇最上游硅片市場需求。但考慮到 2022 年左右後我國 8 英寸硅片將存在供過於求的可能,預計該領域市場上行將存在一定限度。

2.4. 預計國內硅片供需將在 2022 年左右基本實現平衡,國家政策推動硅片產業發展

截止目前,全球半導體硅片行業主要為美日公司所壟斷。2018 年全球半導體硅片(包括拋光片、外延片、SOI 硅片)行業銷售額合計 121.2 億美元。其中,行業前五名企業的市場份額分別為:日本信越化學市場份額 27.58 ,日本 SUMCO 市場份額 24.33 ,德國Siltronic 市場份額 14.22 ,中國臺灣環球晶圓市場份額為 16.28 ,韓國 SK Siltron 市場份額佔比為 10.16 。

根據華夏幸福產業研究院數據,目前我國 8 英寸和 12 英寸硅片總產能僅為 116 萬片/月, 較需求端存在很大缺口。截止目前,國產 8 英寸硅片可基本滿足國內需求,12 英寸硅片國產化率約為 13 ,尚存在巨大的進口替代空間。

現階段來看,國內硅片在建或規劃產能較高。目前國內用於新建硅片廠商的投資金額超過1500 億元,硅產業、超硅半導體、有研半導體、金瑞泓、中環半導體、中芯晶圓、寧夏銀和等公司均開始興建或計劃建設硅片加工廠。根據華夏幸福研究院統計結果,假若目前新建或規劃硅片產能完全投產,預計 8 英寸硅片產量可達 406 萬片/月,12 英寸硅片產量可達 665 萬片/月。

硅片領域,我們認為未來國內 12 英寸硅片將主要受益於對應硅晶圓產能的上升,而 8 英寸硅片將主要受益於最終端的汽車電子和工業半導體需求上行。目前國內 12 英寸硅片存在較大供需缺口,硅晶圓產能提升將有效拉動對應硅片需求;而國內供需基本平衡的 8 英寸硅片,其對應終端半導體市場的增長將利好最上游的硅片銷量和價格。

從規劃產能上,若目前規劃的硅片產能和硅晶圓產能在未來均完全投產,則硅片產能將超過需求。如表 6 所示,由於硅片生產線和硅晶圓生產線的製造週期均約為 2 年,我們推測若二者投產和放量速率相等,則未來國內硅片和硅晶圓產能缺口將不斷縮小,並約在 2022年左右達到供需平衡結構。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

為推動硅片這一佔半導體制造材料份額最高的子行業儘快實現國產化,我國政府也出臺了一系列相關政策,支持硅片行業發展。2012 年工信部發布的《電子信息製造業“十二五”發展規劃》提出需要發展“半導體材料行業重點發展硅材料(硅單晶、拋光片、外延片、絕緣硅、鍺硅)及化合物半導體材料”;2016 年發佈的《高新技術企業認定管理辦法》提出“國家重點支持的高新技術領域:半導體新材料製備與應用技術中,大尺寸硅單晶生長、晶片拋光片、SOI 片及 SiGe/Si 外延片製備加工技術;大型 MOCVD 關鍵配套材料、硅襯底外延和 OLED 照明新材料製備技術;大尺寸砷化鎵襯底、拋光及外延片、GaAs/Si 材料製備技術等”;2017 年發佈的《“十三五”先進製造技術領域科技創新專項規劃》 提出“面向 45-28-14 納米集成電路工藝,重點研發 300 毫米硅片、深紫外光刻膠、拋光材料、超高純電子氣體、濺射靶材等關鍵材料產品,通過大生產線應用考核認證並實現規模化銷售”等。如表 7 所示。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

在硅片領域,目前國內公司如上海硅產業、中環股份等已經可以實現 12 英寸硅片的量產, 技術達到全球先進水平。硅產業和中環股份硅片種類佈局均較為完整,且公司計劃及在建硅片產能體量均較大。在國家政策的支持刺激下,預計我國半導體硅片產業的國產化進程將在近幾年快速推進,行業迎來高速發展。

2.5. 建議關注公司:上海硅產業、中環股份(略)

……

3.光刻膠:國內產品嶄露頭角,國產替代任重道遠

3.1. 光刻膠:光刻技術核心,半導體制造關鍵材料

光刻膠又名“光致抗蝕劑”,是一種在紫外光等光照或輻射下,溶解度會發生變化的薄膜材料。光刻膠是集成電路製造的關鍵基礎材料之一,是光刻技術中涉及到最關鍵的功能性化學材料,廣泛用於印刷電路和集成電路的製造以及半導體分立器件的微細加工等過程。

在光刻圖案化工藝中,首先將光刻膠旋塗在硅片上形成一層薄膜。接著,在複雜的曝光裝置中,光線通過一個具有特定圖案的掩模投射到光刻膠上。曝光區域的光刻膠發生化學變化,在隨後的化學顯影過程中被去除。最後,掩模的圖案就被轉移到了光刻膠膜上。在隨後的蝕刻或離子注入工藝中,此光刻膠的圖案可被轉移到下層的薄膜上。這種薄膜圖案化的過程經過多次迭代,聯同其他多個物理過程,便產生集成電路。其具體流程如圖所示。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

3.2. 光刻膠下游應用較廣泛,以半導體光刻膠性能要求和技術水平最高

市場上,光刻膠產品依據不同標準,可以進行分類。依照化學反應和顯影原理分類,光刻膠可以分為正性光刻膠和負性光刻膠。使用正性光刻膠工藝,形成的圖形與掩膜版相同; 使用負性光刻膠工藝,形成的圖形與掩膜版相反。

按照感光樹脂的化學結構分類,光刻膠可以分為光聚合型光刻膠、光分解型光刻膠和光交聯型光刻膠。光聚合型光刻膠採用烯類單體,在光作用下生成自由基,進一步引發單體聚合,最後生成聚合物,具有形成正像的特點;光分解型光刻膠採用含有疊氮醌類化合物的材料,其經光照後,發生光分解反應,可以製成正性膠;光交聯型光刻膠採用聚乙烯醇月桂酸酯等作為光敏材料,在光的作用下,形成一種不溶性的網狀結構,而起到抗蝕作用,可以製成負性光刻膠。

按照曝光波長分類,光刻膠可分為紫外光刻膠(300~450nm)、深紫外光刻膠(160~280nm)、極紫外光刻膠(EUV,13.5nm)、電子束光刻膠、離子束光刻膠、X 射線光刻膠等。不同曝光波長的光刻膠,其適用的光刻極限分辨率不同,通常來說,在使用工藝方法一致的情況下,波長越小,加工分辨率越佳。

按下游應用分類,光刻膠可分為 PCB(印刷電路板)用光刻膠、面板光刻膠、半導體用光刻膠。PCB 光刻膠技術壁壘相對其他兩類較低,而半導體光刻膠代表著光刻膠技術最先進的水平。

PCB 光刻膠主要分為幹膜光刻膠、溼膜光刻膠(又稱為抗蝕刻/線路油墨)、光成像阻焊油墨等。PCB 光刻膠技術壁壘相對較低,主要是中低端產品。LCD 光刻膠包含彩色濾光片用彩色光刻膠及黑色光刻膠、LCD 觸摸屏用光刻膠、TFT-LCD 正性光刻膠等產品。半導體光刻膠包括 g 線光刻膠、i 線光刻膠、KrF 光刻膠、ArF 光刻膠等。具體分類如表所示。

在不同下游應用光刻膠中,以半導體光刻膠性能最強,技術壁壘最高。隨著半導體制程不斷提高,所需曝光所用光線波長不斷縮短,對光刻膠的分辨率、敏感度、對比度等也提出 了更高的要求。半導體用光刻膠所包含的 i 線光刻膠、i 線光刻膠、KrF 光刻膠、ArF 光刻膠對應曝光波長分別為 436nm、365nm、248nm 和 193nm,隨著曝光波長不斷縮短,對應半導體制程也更加先進。尤其是後續採用的沉浸式曝光極大縮短了 ArF 型光刻膠對應制程,可低達 22nm。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

從表中可看到,隨著時間推進,集成電路集成度增高,製程(技術水平)不斷縮短,適用的光刻技術經歷了 g 線光刻-i 線光刻-KrF 光刻-ArF 光刻-EUV 光刻的階段,對應的光刻膠種類也相應發生了改變。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

分種類來看,g 線光刻膠對應曝光波長為436nm,光源為汞弧燈的g 線光源,一般對應0.5um以上的半導體制程和 6 寸硅晶圓,g 線光刻膠以正性膠為主,主要以重氮萘醌為感光化合物,以酚醛樹脂為基本原料。i 線光刻膠對應曝光波長為 365nm,光源為汞弧燈的 i 線光源,一般對應 0.5-0.3um 的半導體制程和 6 寸及 8 寸硅晶圓,i 線光刻膠以正性膠為主,主要以重氮萘醌為感光化合物,以酚醛樹脂為基本原料。KrF 型光刻膠對應曝光波長為 248nm, 光源為 KrF 激光器,一般對應 0.25-0.13um 半導體制程和 8 寸硅晶圓,KrF 型光刻膠正性膠和負性膠均有,主要以聚對羥基苯乙烯及其衍生物、光致產酸劑為基本原料。ArF 型光刻膠對應曝光波長為 193nm,光源為 ArF 激光器,一般對應 22-180nm 半導體制程和 12 寸硅晶圓,ArF 型光刻膠為正性膠,主要以聚酯環族丙烯酸酯及其共聚物、光致產酸劑為基本原料。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

3.3 光刻膠全球市場:持續增長,基本為美日公司壟斷

由於半導體光刻膠主要應用於半導體制造,故半導體光刻膠市場與對應晶圓廠產能密切相關。根據 SEMI 公佈數據,2018 年全球半導體光刻膠市場共 17.3 億美元,同比增長 2.3%。自 2016 年來,全球光刻膠市場年均複合增長率為 9.23.

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等


半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等


半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

3.4 光刻膠中國市場:隨半導體產業鏈向國內轉移,光刻膠需求將大幅增長, 目前國產化率低,未來有望進一步發展

半導體光刻膠市場增長主要得益於下游晶圓廠產能增長,而半導體晶圓廠產能向中國轉移將極大程度利好國內半導體光刻膠市場需求。根據 IC Insight 預測,至 2020 年中國硅晶圓產能將較 18 年增長 40%,將拉動半導體光刻膠市場需求大幅增長。

根據前瞻研究院數據統計,2017、2018 年中國光刻膠行業市場規模增幅分別為 10.3 ,6.1%, 2016-2018 年市場增速 CAGR 達 8.2%。

但目前中國本土光刻膠產品,主要還集中在低端 PCB 光刻膠, PCB 光刻膠市場份額高達 94.4%。排名第二的 LCD 光刻膠市場份額僅為 2.7%。半導體光刻膠市場份額僅為 1.6%。中國光刻膠產品依然以低端產品為主,半導體光刻膠國產化率水平極低。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

國內半導體光刻膠領域,國產化率方面,分產品來看,較低端的 i/g 型光刻膠國產化率很低,目前國內僅有晶瑞股份的蘇州瑞紅子公司和北京科華微電子公司可實現量產,其中晶瑞股份擁有 100 噸/年的 i 線光刻膠生產線,科華微電子擁有 500 噸/年的 i/g 線光刻膠生產線,此外,容大感光公司也可小批量(低於 100 噸/年)生產 i 線光刻膠。

對於較高端的 KrF、ArF 型光刻膠,目前國內基本依靠進口。KrF 型光刻膠僅北京科華微電子擁有一條 10 噸/年生產線,產品現已通過中芯國際認證獲得商業訂單,但所佔市場份額極低,晶瑞股份於 2018 年建成了一條(KrF)248nm 深紫外光刻膠中試示範線,尚未實現正式批量生產。ArF 型光刻膠目前南大光電在建一條 25 噸/年的生產線,科華微電子和上海新陽也在進行產品的研發和產業化項目。具體產品對應國內公司生產情況及國產化率如表所示。

近年來,隨國內集成電路產業持續發展,國家在集成電路的重要上游材料光刻膠領域也佈局了一系列相關政策。預計隨著下游晶圓廠產能轉移推動市場增長,國內企業突破技術壁壘實現對應產品量產,以及國家政策傾斜扶植,我國光刻膠行業將迎來新一輪的增長機遇。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

3.5 建議關注公司:晶瑞股份、南大光電(略)

……

4.CMP 材料:隨先進製程產能增長,需求持續增高,國內安集科技、鼎龍股份實現技術突破

4.1. 化學機械拋光簡介

化學機械拋光(chemical mechanicalpolishing, CMP)是集成電路(IC)製造過程中的關鍵技術,通過使用化學腐蝕及機械力對加工過程的單晶硅片和金屬佈線層進行平坦化。它不但 能夠對硅片表面進行局部處理,同時也可以對整個硅片表面進行平坦化處理, 是目前唯一能兼顧表面的全局和局部平坦化的技術。

CMP 材料主要包括拋光液、拋光墊、調節器、CMP 清洗以及其他等耗材,而拋光液和拋光墊又佔 CMP 材料細分市場的 80%以上,是 CMP 工藝的核心材料。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

按拋光物質不同進行分類,化學機械拋光分為氧化硅拋光和金屬拋光,氧化硅拋光主要被應用於平坦化金屬層間澱積的層間介質。磨料中的水和氧化硅發生表面水合作用,從而使氧化硅的硬度、機械強度等有效降低,在機械力的作用下將氧化硅去除。金屬拋光與氧化硅拋光機理有一定的區別,一般採用氧化的方法使金屬氧化物在機械研磨中被去除。

拋光液和拋光墊是化學機械拋光中的重要材料。拋光液是平坦化工藝中研磨材料和化學添加劑的混合物,研磨液材料主要是石英,二氧化鋁和氧化鈰,其中的化學添加劑要根據實際情況加以選擇,這些化學添加劑和要被除去的材料進行反應,弱化其和硅分子聯結,使得機械拋光更加容易。磨料方面,通常有氧化物磨料、金屬鎢磨料、金屬銅磨料以及一些 特殊應用磨料。

拋光墊通常使用聚亞胺脂材料製造,又稱聚氨酯拋光墊、拋光阻尼布、氧化鈰拋光墊,利用這種多孔性材料類似海綿的機械特性和多孔特性,可提高拋光的均勻性。拋光墊上有時開有可視窗,便於線上檢測。拋光墊主要起貯存拋光液並把它運送到工件的整個加工區域、使拋光均勻、去除拋光過程產生的殘留物、傳遞材料去除所需的機械能量及維持拋光過程所需的機械和化學環境等作用。

4.2. CMP 材料下游市場:受益於晶圓產能增長和先進製程產能比例增加,CMP 材料市場需求快速增高

自 2016 年來,全球半導體材料市場持續增長,2018 年全球拋光材料市場達到 21.7 億美元,同比增長 17.3%。其中拋光液市場 12.7 億美元,拋光墊市場 7.4 億美元。以中國硅晶圓產能佔世界產能比例測算,2018 年中國拋光材料市場為 2.7 億美元,其中拋光液市場 1.58億美元,拋光墊市場 0.925 億美元。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

我們認為拋光材料市場增長的主要驅動因素有:1、下游晶圓廠產能提升;2、先進製程產能比例增高。

下游產能提升方面,CMP 拋光材料需求也將提升。假設所有產能對應的拋光液需求相等且產品價格不變, 2020 年世界拋光材料需求將達到 24.71 億美元,中國拋光材料需求將達到 3.9 億美元。

先進製程比例提高方面,隨著硅晶圓製程工藝發展,所需拋光步驟數目增多,拋光材料的需求也會提升。比如隨硅晶圓製程精度增加,所需 CMP 步驟大幅增高;存儲芯片由 2D NAND 向 3D NAND 變革,所需 CMP 拋光步驟近乎翻倍。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

4.3. CMP 材料市場結構:拋光液以卡博特微電子為龍頭,國內安集科技實現技術突破;拋光墊以美國陶氏為龍頭,國內鼎龍股份實現技術突破

目前全球拋光液及拋光墊市場依然主要為美日公司所壟斷。拋光墊市場以美國陶氏一家獨大,陶氏公司佔據全球拋光墊市場 79%的市場份額,在細分集成電路芯片和藍寶石兩個高端領域更是佔據 90%的市場份額。此外,3M、卡博特、日本東麗、中國臺灣三方化學等可生產部分芯片用拋光墊。

全球芯片拋光液生產企業主要被美國卡博特、美國陶氏杜邦、美國 Versum、日本 Fujimi、日本 Nitta Haas、 韓國 ACE 等所壟斷,佔據全球 90%以上的高端市場份額。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

拋光液中國市場方面,目前安集科技率先突破技術壁壘,實現拋光液國產化。2018 年安集科技在中國市場實現 22%市佔率,全球約 2%的市佔率。目前安集科技主流製程產品實現量產,拋光液產品已在 130-28nm 實現規模化銷售。14nm 技術節點產品已進入客戶認證階段,10-7nm 產品正在研發中。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

拋光墊方面,目前國內仍然主要依靠進口,僅鼎龍股份一家能夠小批量生產 CMP 拋光墊產品。

為加速推動中國半導體拋光材料的國產化進程,近年來國家政策也在該領域持續加碼。如2017 年 1 月國家發改委發佈的《戰略性新興產業重點產品和服務指導目錄》中包含“新一代信息技術產業——電子核心產業——集成電路——集成電路材料(拋光液、研磨液、封裝材料等)”;2017 年 3 月科技部發布的《“十三五”先進製造技術領域科技創新專項規劃》提出“面向 45-28-14 納米集成電路工藝,重點研發 300 毫米硅片、深紫外光刻膠、拋光材料、超高純電子氣體、濺射靶材等光劍材料產品,通過大生產線應用考核認證並實現規模化銷售”;2018 年 12 月工信部發布的《重點新材料首批次應用指導示範目錄》中將“先進基礎材料——先進化工材料——電子化工新材料——CMP 拋光材料”列入目錄範圍。

此外,大基金也對拋光材料企業,如安集科技等公司進行了投資。在政策加碼和國內企業攻克相應技術壁壘的背景下,我們預計 CMP 材料行業將會迎來較快的國產替代進程和高速發展。

4.4. 建議關注公司:安集科技、鼎龍股份(略)

……

5.光掩膜:隨半導體制程提高,掩膜市場迅速擴大,光掩膜加快國產替代步伐

5.1. 光掩膜:光刻工藝模板,直接影響最終芯片品質

光掩膜一般也稱光罩、掩膜版,是微電子製造中光刻工藝所使用的圖形母版,由不透明的遮光薄膜在透明基板上形成掩膜圖形,並通過曝光將圖形轉印到產品基板上製造。

光掩膜板的製造基於原始設計圖形,加入光學臨近效應補償,通過計算機輔助系統處理, 使用激光或電子束曝光的手法將經過修正後的設計圖形移植到透光性能良好的石英基板上,最後還要經過後續蝕刻和檢驗修補工藝。

在光掩膜加工過程中,需要用到各類處理技術,如臨近光學校正,光學數據準備等。臨近光學校正,即 OPC,是 Optical Proximity Correction 的簡稱。如圖所示,隨著芯片加工尺寸越來越小,如果 Mask 直接採用芯片設計圖上的方形邊角圖案,由於光衍射效應,在晶圓上最終將會變成圓角圖案。OPC 通過改變光罩的圖案形狀補償對衍射效應形成補償,在晶圓上重現方角的原始設計圖案。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

MDP(Mask Data Preparation),即光罩數據準備的步驟,是將設計圖案轉換成 Mask Writer可以讀取的數據。OPC、MDP 等軟件使用過程複雜,對 CPU 硬件算力要求很高,一般需要幾十人的工程團隊支持,軟件在成百上千臺服務器上運行,從開始準備到生成 Mask Writer 需要的數據,最快也需要幾天時間。

掩膜版質量的優劣直接影響光刻的質量。在芯片製造過程中需要經過十幾甚至幾十次的光刻,每次光刻都需要一塊光刻掩膜版,每塊光刻掩膜版的質量都會影響光刻的質量。光刻過程中,通常通過一系列光學系統,將掩膜版上的圖形按照 4:1 的比例投影在晶圓上的光刻膠塗層上。

由於在製作過程中存在一定的設備或工藝侷限,光掩膜上的圖形並不可能與設計圖象完全一致,即在後續的硅片製造過程中,掩膜板上的製造缺陷和誤差也會伴隨著光刻工藝被引入到芯片製造進程。故光掩膜板的品質將直接影響到芯片的良率和穩定性。

光掩膜的下游應用主要為平板顯示、半導體芯片、觸控、電路板。行業的發展主要受下游平板顯示行業、半導體芯片行業、觸控行業和電路板行業的發展影響,與下游終端行業的主流消費電子(手機、平板、可穿戴設備)、筆記本電腦、車載電子、網絡通信、家用電器、LED 照明、物聯網、醫療電子等產品的發展趨勢密切相關。

5.2. 國內下游應用市場持續增長,光掩膜國產替代空間較大

作為光掩膜的下游應用,近年來,國內面板、半導體和 PCB 電路板市場均持續增長,從而拉動了光掩膜市場需求不斷上行。

面板方面,根據 IHS 預測,2016 年-2025 年全球新型顯示面板需求面積的複合年增長率(CAGR)預計將達 4%,到 2025 年將增長至 2.66 億平方米。近年來我國集中建設高精度、高世代面板線為承接全球新型顯示產能轉移提供了良好條件,全球平板顯示產業佈局向中國轉移的進程明顯加快。IHS 預測中國大陸平板顯示面板全球佔比也將由 2018 年 39%增長到 2020 年 52%。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

PCB 電路板方面,根據 Prismark 預測,未來幾年全球 PCB 行業產值將持續增長,到2022 年全球 PCB 行業產值將達到 688.10 億美元。

根據清溢光電招股說明書,至 2021 年亞洲將繼續主導全球 PCB 市場的發展,而中國位居亞洲市場不可動搖的中心地位,預計中國大陸 PCB 行業將保持 3.7% 的複合增長率, 預計 2022 年中國地區行業總產值將達到 356.86 億美元。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

半導體應用方面,2018 年全球半導體用光掩膜產值達 40.4 億美元,同比增長 7.7%。受益於全球半導體晶圓製造產能向中國轉移,中國半導體用光掩膜市場將以較高速度持續增長。此外,隨著半導體先進製程產能佔比不斷提高,半導體制程工藝中使用雙重曝光(指在光 刻膠覆蓋的晶片上分別進行兩次曝光。兩次曝光在同樣的光刻膠上進行,但使用不同的掩模版)次數不斷上升,工藝所需光掩膜數量將大幅上升,從而推動光掩膜市場需求以更高速度增長.

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等


半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等


從生產量來看,因智研諮詢最新數據僅統計到 2016 年,2011 年我國光掩膜版生產規模為0.87 萬平方米,2016 年生產規模增長至 1.69 萬平方米,複合增長率達到 14.2%。按光掩膜生產規模 14.2%的年均增速測算,2018 年光掩膜國內產量為 2.19 萬平方米,即目前國內光掩膜國產化率僅約 20%,存在巨大的進口替代空間。

從相關政策來看,國家也在持續加碼相關政策支持產業發展,如 2014 年發改委、財政部、商務部發布的《鼓勵進口技術和產品目錄(2014 年版)》中,提出將“TFT-LCD、OLED 面板、配套材料製造技術和專用設備的設計製造技術,3D 顯示、激光顯示製造技術和專用設備的設計製造技術”和“65nm 及以下大規模數字集成電路設計、工藝製造技術,65nm 及以下模擬、數模集成電路設計、工藝製造技術,SoC 芯片和關鍵 IP 核、新型高密度集成電路封裝與測試技術,上述技術產品專用設備的設計製造技術和專用材料的生產技術” 列入“鼓勵引進的先進技術”;2016 年財政部、海關總署、國家稅務總聯合發佈的《關於扶持新型顯示器件產業發展有關進口稅收政策的通知》提出“自 2016 年 1 月 1 日至2020 年 12 月 31 日,對符合國內產業自主化發展規劃的彩色濾光膜、偏光片等屬於新型顯示器件產業上游的關鍵原材料、零部件的生產企業進口國內不能生產的自用生產性原材料、消耗品,免徵進口關稅”等。

在下游市場不斷擴張,國產替代化空間依然較高,以及相關政策支持加碼的背景下,預計我國光掩膜行業加速國產替代,實現快速發展。

5.3. 建議關注公司:清溢光電

……

6.濺射靶材:國產化水平較高,下游市場擴張將推動行業進一步發展

6.1. 濺射靶材:PVD 工藝核心材料,主要用於半導體金屬化

靶材,特別是高純度濺射靶材應用於電子元器件製造的物理氣相沉積( Physical Vapor Deposition,PVD)工藝,是製備晶圓、面板、太陽能電池等表面電子薄膜的關鍵材料。

所謂濺射,是製備薄膜材料的主要技術,也是 PVD 的一種。它通過在 PVD 設備中用離子對目標物進行轟擊,使得靶材中的金屬原子以一定能量逸出,從而在晶圓表面沉積,濺鍍形成金屬薄膜,其中被轟擊的固體是用濺射法沉積薄膜的原材料,稱為濺射靶材。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

濺射靶材是半導體金屬化的關鍵材料,就具體操作來看,首先需要利用高電壓下的高速離子流,在高真空條件下產生 Ar 陽離子,來轟擊不同種類的金屬濺射靶材(陰極)的表面。當帶能量的氬離子撞擊靶材表面時,靶材的原與氬原子發生動量轉移而物理性的從表面彈出,以金屬蒸汽的形式引入真空反應室。最後金屬蒸汽會到達晶圓表面並吸附在表面形成附著原子,靶材表面的原子將一層一層地沉積在半導體芯片的表面上,形成金屬薄膜。

當金屬薄膜形成後,可再通過刻蝕或 CMP 工藝,將沉積在芯片表面的金屬薄膜刻蝕成納米級別的金屬線。通過金屬線將芯片內部數以億計的微型晶體管相互連接起來,從而起到傳遞信號的作用。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

6.2 濺射靶材:細分品種多,半導體濺射靶材技術要求及純度最高

按照靶材應用領域的不同,以及對材料純度、穩定性要求的不同,濺射靶材可分為半導體用濺射靶材、平板顯示器用濺射靶材、太陽能電池用濺射靶材、磁記錄介質用建設靶材等。

其中半導體芯片濺射靶材主要使用超高純度鋁、鈦、銅、鉭等金屬材料,用於製造集成電路。平面顯示器用濺射靶材主要使用高純度鋁、銅、鉬,摻錫氧化銦(ITO)等材料,用於高清晰電視、筆記本電腦顯示器的製造。太陽能電池用濺射靶材主要使用高純度鋁、銅、 鉬、鉻和 ITO 等材料,用來製造薄膜太陽能電池。

從性能要求上來看,在所有分類中,半導體用濺射靶材的技術要求和純度最高,價格也最為昂貴。芯片製造對濺射靶材金屬純度的要求通常達到 99.9995 以上,而平板顯示器、太陽能電池分別要求達到 99.999 、99.995 以上即可。除了純度之外,芯片對濺射靶材內部微觀結構等也設定了極其苛刻的標準,需要掌握生產過程中的關鍵技術,並經過長期實踐才能製成符合工藝要求的產品。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

按照靶材金屬材質的不同,濺射靶材可以分為銅靶、鈦靶、鋁靶、鉭靶、鎢鈦合金靶、鋁合金靶等。

集成電路使用的濺射靶材主要包括鋁靶、鈦靶、銅靶、鉭靶、鎢鈦靶等。

平板顯示鍍膜用濺射靶材主要品種包括鉬靶、鋁靶、鋁合金靶、鉻靶、銅靶、銅合金靶、靶、鈦靶、鈮靶和氧化銦錫(ITO)靶材等。

太陽能電池板中較為常用的濺射靶材包括鋁靶、銅靶、鉬靶、鉻靶以及 ITO 靶、AZO 靶(Aluminum Zinc Oxide,氧化鋁鋅)等。

集成電路領域,從不同材質濺射靶材的具體應用來看,銅靶和鋁靶主要用於沉積導電層, 鉭靶和鈦靶主要用於沉積阻擋層,鎳鉑合金靶、鈷靶、鎢鈦合金靶、鎢靶主要用於沉積解除層。其中銅靶和鉭靶通常配合起來使用。晶圓的製造技術目前正在朝著更小的製程方向發展,銅導線工藝的應用量在逐步增大,因此,銅和鉭靶材的需求將有望持續增長。另外鋁靶和鈦靶通常配合起來使用。目前,由於在汽車電子芯片等領域需要 110nm 以上技術節點來保證其穩定性和抗干擾性的領域,故仍然需要大量使用鋁、鈦靶材。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

6.3 半導體制造用濺射靶材市場增長迅速,全球市場競爭激烈

根據 SEMI 統計數據,2016-2018 年全球半導體芯片用濺射靶材產值從 6.7 億美元增長至 8億美元,CAGR 為 9.3%。

由於半導體濺射靶材市場與晶圓產量存在直接關係,以中國大陸晶圓廠產能佔世界比例為12.5 計算,2018 年中國半導體濺射靶材市場約 1 億美元,隨晶圓廠產能向中國轉移,2019 年中國半導體濺射靶材市場將達到 1.11 億美元,同比增長 28.59 。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

根據 SEMI 統計,半導體封測材料市場中,濺射靶材約佔 2.7 ,2018 年中國半導體封測材料銷售額為 197 億美元,測算濺射靶材市場約 5.31 億美元。

從半導體濺射靶材市場來看,目前全球半導體濺射靶材市場主要為美日公司所壟斷,競爭較為激烈。其中龍頭公司包括日礦金屬、東曹、霍尼韋爾等。

6.4. 中國市場濺射靶材國產化率高於 30%,高准入壁壘鞏固龍頭優勢

不同於其他研究報告中選用 2015 年數據,認為國內靶材在國內市場佔有率不足 20%,我們整理了半導體行業濺射靶材兩大龍頭企業有研新材和江豐電子的銷售數據,對 2018 年國有企業半導體用濺射靶材市佔率進行了測算,認為半導體靶材領域,截止 2018 年,國內企業已市佔率已超過 30%。

2018 年中國半導體濺射靶材市場分為晶圓製造和封測兩個市場,合計 40 億元,其中有研新材 2018 國內半導體濺射靶材收入為 9.83 億元,江豐電子 2018 年國內半導體濺射靶材收入為 1.26 億元,根據測算,中國半導體濺射靶材市場的國產化率超過 30%。此外,產品性能方面,江豐電子和有研新材的生產技術水平均較高,其部分靶材產品已經可以達到國際最先進製程的技術要求,已成功實現國產替代。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

半導體濺射靶材市場增長主要得益於下游晶圓廠產能增長。預計未來隨半導體產業鏈繼續向中國轉移,將推動濺射靶材市場進一步增長。

政策方面,近年來,隨國內集成電路產業持續發展,國家在集成電路的重要材料濺射靶材領域也佈局了相關政策進行扶持。2015 年 11 月財政部、發改委、工信部、海關總署、國家稅務總局聯合發佈的《關於調整集成電路生產企業進口自用生產性原料、消耗品、免稅商品清單的通知》規定:進口靶材的免稅期到 2018 年年底結束。這意味著從 2019 年開始,日、美靶材需要繳納 5-8%關稅。該政策將極大利好國內的濺射靶材企業。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

國內市場競爭方面,濺射靶材的准入門檻較高。半導體芯片製造企業對靶材合格供應商的認證過程非常漫長和苛刻,一般至少需要 2-3 年以上(《半導體芯片行業用金屬濺射靶材市場分析》張衛剛 2018)。其中,要進入日、韓等國家芯片製造企業的靶材供應商,則必須通過日、韓本國的中間商或者商社來間接供應;要進入英特爾的靶材供應商,則必須通過應用材料(AM)的推薦 ;要進入全世界最大的晶圓代工企業臺積電的靶材供應商,則需要通過其最終客戶(蘋果和華為等)的認可。濺射靶材的行業准入門檻較高,將使目前行業的龍頭公司具有一定程度的先發優勢。

綜合來看,在下游市場持續增長,國有產品替代化率和產品水平均較高,政策向國內企業傾斜,國內市場競爭壁壘高的背景下,我們預計未來濺射靶材行業還將進一步發展,國內龍頭公司,如江豐電子、有研新材將會從中獲益。

6.5. 建議關注公司:有研新材,江豐電子(略)

……

7. 電子特氣:半導體制造基礎材料,國產進程持續推進

7.1. 電子特氣:半導體制造基礎材料,貫穿製造全程

電子特氣指半導體生產環節中,如延伸、離子注進、摻和、洗滌、遮掩膜形成過程中使用 到一些化學氣體,即氣體類別中的電子氣體,比如高純度的 SiH4、PH3、AsH3、B2H6、N2O、NH3、SF6、NF3、CF4、BCl3、BF3、HCl、Cl2 等。這些氣體通過不同的製程使硅片具有半導體性能,也決定了集成電路的性能、集成度、成品率。半導體生產過程中,某一種特種氣 體中某一個特定雜質超標,都將導致芯片質量產生嚴重缺陷,嚴重時會因不合格氣體的擴散,導致整個生產線被汙染,乃至全面癱瘓。因此,電子氣體是製造過程基礎關鍵材料, 是名副其實的電子工業“血液”。

按照用途分類,電子特氣可以分為硅片製造用,化學氣相沉積用,光刻用,離子注入用, 刻蝕用和載氣、保護氣體用六種。其中化學氣相沉積用又可以細分為膜形成和導體沉積兩種。硅片製造主要使用高純度的 SiH4,SiH2Cl2, SiHCl3, SiCl4,H2 等氣體,化學氣相沉積中還會使 用 SiH4,SiH2Cl2, N2O,O2,CO2,Si(OC2H5)4 (TEOS) 等 氣 體 , 刻 蝕 中 則 主 要 使 用CF4,CF4/O2,CBrF3,CClF3,C2ClF5,SF6,NF3 等氣體。

……

7.2. 電子特氣:國內市場增長迅速,部分公司實現國產替代

全球集成電路用電子特氣持續市場增長,目前全球電子特氣行業主要為美日公司壟斷。2018 年全球電子特氣市場達到 42.7 億美元,同比增長 10.3%。

晶圓廠產能向國內的轉移將進一步推動我國電子特氣市場實現增長。據前瞻研究院統計,2015 年我國集成電路用電子特氣市場規模已達到 32.8 億元,同比增加近 20%左右,假設增速保持穩定,預計到 2020 年,國內集成電路用電子特氣市場規模將達到 81 億元。

除半導體市場外,特種氣體還可應用於顯示面板、光伏能源、光纖光纜、新能源汽車、航 空航天、環保、醫療等產業。近年來隨國內下游產業發展,特種氣體作為不可或缺的關鍵 性材料,其市場規模保持持續高速發展。根據華特氣體招股說明書,2010-2017 年中國特種氣體市場平均增速達 15.48 ,2017 年中國的特種氣體市場規模達到約 178 億元,且預期此後 5 年仍將以平均超過 15%的年增長率高速增長,到 2022 年中國特種氣體市場規模將達到 411 億元。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

隨下游產業持續發展,對特種氣體的性能要求也不斷提高。如集成電路領域晶圓尺寸從 6 寸、8 寸發展到 12 寸乃至 18 寸,製程技術從 28nm 到 14nm 再到 7nm;顯示面板從LCD 向 OLED 乃至柔性面板發展;光伏能源從晶體硅電池片向薄膜電池片發展等。作為這些產業發展的關鍵性材料,特種氣體的精細化程度不斷增強,對特種氣體生產企業在氣體純度、混配精度等方面的技術要求也將持續提高。

目前我國電子特氣公司產品較為單一且較為低端,在先進領域市佔率不高,主要依然為美日公司佔據,目前全球龍頭主要為美國空氣化工、美國普萊克斯、德國林德集團和法國液化空氣等公司。而我國電子特氣的產品性能和量產能力相對較低。據不完全統計,國內目前能提供的主要電子氣體純度如表所示。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

從技術層面看,國內有些企業已經具備了生產高純電子氣體的能力,國產替代取得了一定進展,但在集成電路等高端領域的國產化率依然較低。究其原因不難發現,特種氣體生產是一個系統工程,涉及到氣體的深度提純技術、痕量雜質分析檢測技術、氣瓶的內表面處理技術、有毒尾氣的解毒處理技術等。國內的企業儘管具備生產能力,但仍存在一些問題:

(1) 國內電子氣體的生產和供應商規模較小,不能為用戶提供全方位的服務。和國際巨頭相比,國內供應商總的來說體量較小,目前大多是通過低價競爭的方式來佔領很少的市場份額。由於國內供應商競爭激烈,從而導致產品價格快速下滑,企業利潤微薄,甚至虧損。

(2) 國內電子氣體的質量穩定性不夠。電子氣體,特別是高純電子氣體,是影響電子器件可靠性和成品率的重要因素。電子信息技術的飛速發展,對電子氣體的質量穩定性要求越來越苛刻。如果一種電子氣體產品在集成電路製造工藝中通過驗證,那麼該純度和質量要求就被鎖定。芯片製造商不希望看到產品的質量有任何變化,即使是純度的進一步提高也可能發生意外情況。所以電子材料生產過程中的任何變化,包括更換原材料供應商、生產工藝的變動等,都必須及時通知芯片製造商,根據情況決定是否重新進行驗證。而國內企業生產的電子氣體發生過多次質量事故,影響了芯片製造商對國內電子氣體的信任度。

(3) 國內電子氣體產品的包裝、儲運條件未能和現代電子工業的要求接軌。超高純氣體的生產和應用都要求使用高質量的氣體包裝儲運容器、相應的氣體輸送管線、閥門和接口, 以避免二次汙染的發生。而國內廠家為了節省成本、低價競爭,在包裝、儲運等方面降低要求,出現過種種問題。

(4) 分析檢驗技術不夠。國外已研發出系統的分析測試方法和現場分析儀器,對電子氣體中危害較大的雜質組分、顆粒以及金屬離子等推出了多種分析儀器。而我國在“產品是生產出來的,不是檢測出來的”這一指導思想下,對電子氣體生產應用領域分析檢測技術的研究開發工作不夠重視。

目前,國內部分的電子特氣生產企業和產品如表所示。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

為推動特種氣體這一電子關鍵材料國產化,國家也發佈了一系列支持政策。在下游市場高速擴張,國產替代空間大,國家政策進行支持,及國內部分企業已突破技術壁壘實現國產替代的背景下,我國特種氣體行業有望在未來加快國產替代步伐,實現高速發展。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

7.3 建議關注公司:華特氣體、雅克科技、南大光電(略)

……

8. 溼化學品: 細分種類眾多,部分實現國產化,未來前景廣闊

8.1. 細分品類眾多,貫穿半導體制造過程

溼電子化學品從種類上分,可分為超淨高純試劑和功能性材料,其中功能性材料主要用於光刻、刻蝕等環節,通過組分配比使試劑具有特定功能,種類上主要分為緩衝刻蝕液、剝離液、刻蝕液、半導體用顯影液、面板用顯影液和極性溶液。超淨高純試劑是控制顆粒和雜質含量的電子工業用化學試劑,按照性質可分為① 酸類:氫氟酸、硝酸、鹽酸、磷酸、硫酸、乙酸② 鹼類:氨水、氫氧化鈉、氫氧化鉀、四甲基氫氧化銨等③ 有機溶劑類:醇類、酮類、脂類、烴類、鹵代烴類④ 其它類:雙氧水等。溼電子化學品主要應用於半導體、光伏太陽能電池、LED 和平板顯示等領域。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

按照應用領域分類,溼電子化學品可以分為半導體用溼化學品、面板用溼化學品和光伏太陽能電池用溼化學品三種,而應用領域不同,對電子化學品的純度要求也有所不同:

① 半導體領域:純度要求較高,基本集中在 SEMI G3、G4 水平,我國的研發水平與國際尚存在較大差距。其中分立器件對超淨高純試劑純度的要求要低於集成電路,基本集中在SEMI G2 級水平,國內企業的生產技術能夠滿足大部分的生產需求。

② 面板領域: 等級要求為 SEMI G2、G3 水平,國內企業的生產技術能夠滿足大部分的生產需求。

③ 光伏太陽能電池領域:一般只需要 SEMI G1 級水平,是目前國產超淨高純試劑的主要市場。

按下游行業的技術要求分,半導體領域對溼電子化學品的技術要求最高。整個晶圓製造過程中,要反覆通過十幾次清洗、光刻、蝕刻等工藝流程,每次都需要溼電子化學品進行相關處理。不同線寬的集成電路工藝中必須使用不同規格的超淨高純試劑進行蝕刻和清洗, 且超淨高純試劑的純度和潔淨度對集成電路的成品率、電性能及可靠性有著非常重要的影響.

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

8.2. 溼化學品下游市場增長迅速,行業主要為美日公司壟斷

溼化學品下游應用市場可以分為半導體、面板和太陽能電池三大市場。總體來看,全球市場以及中國市場近年來都呈現持續增長的趨勢。分市場看,隨面板產業向國內轉移、太陽能光伏電池裝機量上升、半導體晶圓產能向中國大陸轉移,我國溼化學品市場需求也呈上升趨勢,且未來有望繼續大幅增長。

全球市場方面,據立木信息諮詢發佈的《中國溼電子化學品發展調研與投資戰略報告(2019 版)》顯示:2018 年,全球溼電子化學品整體市場規模約 52.65 億美元。預計到 2020 年,全球溼電子化學品整體市場規模將達到 58.50 億美元,在全世界三大領域應用量達到 388萬噸,複合增長率約 12.42 。

國內市場方面,我國溼電子化學品產量由 2012 年的 18.70 萬噸增加至 2018 年的 49.50 萬噸,年均複合增長率 17.61 。

我國三大應用市場溼電子化學品需求量在未來幾年將有大幅度的提升。根據前瞻研究院統計,2018 年,我國溼電子化學品市場規模約 79.62 億元,需求量約 90.51 萬噸。到 2020年,我國溼電子化學品市場規模有望超過 105.00 億元,需求量將達到 147.04 萬噸,複合增長率達到 27.46 。預計三大市場需求量都有望大幅增加,面板行業需求量約 69.10 萬噸, 半導體領域需求量為 43.53 萬噸,太陽能市場需求約 34.41 萬噸.

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

市場競爭格局上,目前國際上從事溼電子化學品的研究開發及大規模生產的企業主要有德國的巴斯夫公司、E.Merck,美國的亞什蘭公司、霍尼韋爾公司,日本的關東化學公司、東 京應化工業、住友化學,我國臺灣地區主要有臺灣東應化股份有限公司、伊默克化學科技股份有限公司、臺灣聯仕電子化學材料股份有限公司、長新化學、臺硝投資股份及理盛精密科技等,韓國主要有東友(DONGWOOFINECHEM)、東進(DONGJIN SEMICHEM)等公司。

8.3 我國溼化學品國產化率約 20 ,進口替代空間較大

目前國內溼化學品產品可滿足太陽能光伏和麵板產業的需求,但半導體領域國產化率仍然較低。國內 6 寸及 6 寸以下晶圓加工用的溼電子化學品,國產化率為 80%,而 12 英寸及12 英寸以上晶圓加工的市場,國產化率僅為 10%左右,整體半導體晶圓製作用溼電子化學品的國產化率在 20 左右。

目前我國 1μm 工藝技術用的化學品已經實現規模化生產,並實現了國產化;0.35μm 技術用化學品也實現了規模生產;0.18μm 技術用化學品已經完成了研究工作。目前為止, 國內技術領先溼電子化學品企業的部分產品已經達到了國際 G5 標準,如晶瑞股份的雙氧水等。但大部分溼電子化學品企業的產品還處於 G2-G3 標準階段。

據不完全統計,我國溼電子化學品生產研發單位及對應產品如表所示。其中半導體溼化學品的龍頭公司主要有江陰潤瑪(生產半導體分離器件、大、中規模集成電路、硅材料製造中專用電子化學品),晶瑞股份(生產微電子業用超純化學材料和其他精細化工產品),江化微(生產適用於半導體分立器件、中小規模集成電路、大規模集成電路以及 LCD、LED 等工藝製造過程中的專用微電子化學品——紫外負性光刻膠及其紫外正、負性光刻膠配套試劑、超淨高純試劑)等。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

在溼化學品領域,國家也出臺了一系列相關政策。如 2016 年發佈的《高新技術企業認定管理辦法》中提出“集成電路和分立器件用化學品、印刷線路板生產和組裝用化學品、顯示器件用化學品被列為國家重點支持的高新技術領域的電子化學品。具體包括高分辨率光刻膠及配套化學品、印製電路板(PCB)加工用化學品、超淨高純試劑及特種(電子) 氣體、先進的封裝材料;彩色液晶顯示器用化學品、研磨拋光用化學品等”,發佈的《國家重點支持的高新技術領域》中“四、新材料技術/(五)、精細化學 品/1、電子化學品”將集成電路和分立器件用化學品;印刷線路板生產和組裝用化學品;顯示器件用化學品,包括高分辨率光刻膠及配套化學品;超淨高純試劑及特種(電子)氣體;先進的封裝材料;彩色液晶顯示器用化學品等列為國家重點支持的高新技術領域”等。

目前我國在溼化學品加工等先進技術領域與國外仍然存在較大差距,國產化率水平較低, 但隨著國家政策的加碼扶持,助力溼化學品國產化,我國溼化學品領域未來國產化進程有望不斷加速,產業將會迎來上升週期。

8.4. 建議關注公司:飛凱材料、晶瑞股份、上海新陽(略)

……

9. 石英:基礎原料承載經濟騰飛

石英是無機非金屬礦物,主要成分是二氧化硅,為透明或半透明的晶體,一般為無色或乳白色,質地堅硬,常含有少量雜質成分,如鋁、鉀、鈉、鋰等。石英砂作為重要的工業礦物原料,廣泛用於玻璃、鑄造、陶瓷及耐火材料、冶煉硅鐵、冶金熔劑、冶金、建築、化工、塑料、橡膠、磨料、濾料等工業。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

石英砂根據其 SiO2 和 Fe2O3 含量的多少分為普通石英砂、精製石英砂、高純石英砂和超純石英砂。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

由於具有良好的透光性能、耐熱性能、電學性能及化學穩定性,以高純石英砂為原料的石英制品作為重要的基礎材料被廣泛運用於電光源、半導體、光伏、光通信、航天技術和軍 事技術等行業。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

9.1. 半導體:全球產能轉移

9.1.1. 貫穿半導體制備全程

石英在半導體制備過程中,幾乎貫穿整個全程。具體來看,主要包括硅錠生產過程中單晶硅長晶容器-石英坩堝;氧化(擴散)過程中的石英鐘罩、石英管;光刻過程中的光掩模基板、石英環;以及清洗過程中的石英清洗箱、石英花籃、石英舟等,幾乎貫穿整個半導體制備全程。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

9.1.2. 幾乎被國外壟斷

石英材料器件作為應用於半導體設備上的核心零部件耗材,其質量直接影響到工藝效果和設備安全,故在半導體石英產業鏈中,取得半導體設備廠商的官方認證是最為關鍵的一環。當前半導體擴散設備市場中,AMAT、東京電子和 ASML 等市場佔有率為 65%,幾乎被國外企業壟斷.

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

9.1.3. 半導體產能向中國大陸轉移,進口替代有望加速

根據 IC Insights 發佈 2020-2024 年全球晶圓產能報告指出:2020 年開始硅晶圓廠呈現逐季復甦態勢,2020 年全球有 10 座新的 12 英寸晶圓廠進入量產,晶圓產能新增 1790 萬片(8 英寸當量);2021 年新增產能將達到歷史新高,達到 2080 萬片(8 英寸當量),主要來自三星、SK 海力士、長江存儲、武漢新芯、華虹宏力等。隨著全球半導體產能加速向中國大陸轉移,石英制品進口替代有望加速。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

9.2. 光通訊:5G 時代,進口替代加速

9.2.1. 5G 將實現萬物互聯

5G 網絡主要有三大特點:極高的速率(eMBB);極大的容量(MMTCL);極低的延時(URLLC)。

(1) 極高的速率(eMBB)-峰值速率 10Gbps。應用:高速上傳下載;3D 視頻,4K 甚至8K 視頻流的實時播放;結合雲技術,工作、生活和娛樂全都交給雲;AR、VR 與遊戲生活相結合;Media everywhere 改變媒體傳播方式。

(2) 極大的容量(MMTCL)。應用:物聯網;智慧城市;智慧家居;智慧電網;智能放牧、種植;物流時事追蹤。

(3) 極低的延時(URLLC)。應用:遠程醫療手術;遠程駕駛;車聯網自動駕駛;工業控制。

相對於 4G,5G 在流量密度、連接數密度、時延、速率等方方面面對網絡進行了大幅提升。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

9.2.2. 保守估計 5G 基站光纖需求為 4G 的 4 倍以上

現有的移動網絡工作在相對較低的頻段,中低頻段具有傳播性能優越、運營成本低(基站少)的特點。但中低頻段的連續資源已極度匱乏,從全球頻譜劃分情況來看,優質的中低 頻段都已被 2G、3G、4G 劃分完畢。5G 只能從未被大規模應用的中高頻段中獲取頻譜資源。相對而言,中高頻段其傳播性能較差,因此需要更多的基站提升覆蓋密度。因此 5G 通過對基站需求倍增直接拉動對光纖的需求。在《通過 5G 之路》中,機構預測 5G 基站光纖需求將達到 4G 的 16 倍。在假設預測中,4G 基站間距為 2km,5G 基站間距為 0.5km,我們保守估計假設基站間距為 1km,則在覆蓋範圍不變的前提下 5G 基站光纖需求為 4G 的 4 倍。而 5G 網絡需要更大的覆蓋面積,需要小微基站進行補盲和室內覆蓋,這更加刺激了對基站的需求,因此保守估計 5G 基站光纖需求為 4G 的 4 倍以上。

9.2.3. 到 2021 年全球光纖需求有望達 10 億芯公里

概括來說,網絡應用的發展和網絡基礎設施是螺旋促進的關係,符合安迪•比爾定律:光纖網絡性能達到一定水平新型應用就會出現,快速消耗新增的帶寬資源,進而促進光纖網絡繼續升級擴容。從中國互聯網應用和網絡基礎設施的發展規律看,互聯網萌芽後郵箱、新聞、QQ 等早期應用誕生;光纖到戶建設啟動後,優酷土豆等流媒體服務落地;3G 時代到來後,移動互聯網應用蓬勃發展。運營商在政策推動下大力開展 FTTH 建設,推動中國互聯網應用蓬勃發展,在高速增長的帶寬壓力下,運營商將有持續增長的光纖光纜需求。

參照之前 4G 投資進度,預計 5G 將在 2018 年底完成標準制定,2019 年頒發牌照,2020 年實現規模商用。2014-2016 年為全球&中國 4G 投入期,期間光纖累計需求為 11 億芯公里,假設 5G 期間對光纖的需求是 4G 的 4 倍,預計 5G 期間對光纖的需求為 44 億芯公里。我們以 4 年投入期估算,國外 5 年投入期(國外存在銅纜替換問題)估算,到 2021 年 5G開始規模商用時,年需光纖 10 億芯公里。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

而 2017-2019 年期間,主要為 4G 到 5G 的過渡期,參考前次過渡期光纖增速存在下滑風險,國內受中移動集採、農村寬帶以及廣電獲牌的影響,我們認為中國增速並不會下滑仍會保持 20%左右高速增長。

首先,2015 年中移動獲得固網牌照後,開始大規模投資寬帶,16 年底用戶數已經超越聯通,未來目標超越電信,並明確表示“儘快建立寬帶網絡優勢,增強網絡承載能力”。其次,政府 2017 年工作報告要求完成 3 萬個行政村通光纖,力爭到 2020 年完成約 5 萬個為通寬帶行政村通寬帶、約 15 萬個已通寬帶的行政村接入能力光纖化。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

9.2.4 光纖預製棒年缺口 15

網絡中實際使用的主要是光纜,由將多根光纖和支撐、外包保護等材料捆封在一起製成, 而光纖的上游是高純度的光纖預製棒,由四氯化硅、四氯化鍺等化工氣體原料製成,形成光纖預製棒-光纖-光纜的成熟產業鏈。為保證光纖的傳輸性能,光纖預製棒純度和一致性要求極高,對內部氣泡、同心度、折射率變化等有詳細的參數要求,是光纖光纜製備中的核心技術門檻。

在光纖需求的高速增長下,對光纖預製棒的需求亦將快速增長,預計到 2021 年全球光纖預製棒需求或將達到 3.3 萬噸,其中中國需求或將達到約 2 萬噸。而中國光纖預製棒的產量並不能滿足國內需求,過去幾年仍需進口約 2000 噸/年。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

光纖預製棒作為光纖製作領域中的核心組件,由芯棒+外包層套管組合而成。早期生產工藝主要為一步法,目前主流的光纖預製棒製備均採用兩步法,即先製備芯層,再製備外包層。芯棒主流技術路線包括管內法(MCVD、PCVD)和管外法(OVD、VAD);外包層主流技術包括套管法(早期是 RIT,後來演進為 RIC)和全合成法(OVD、VAD)。

芯棒是以高純的四氯化硅、四氯化鍺為原料,在氫氧焰或甲烷焰的作用下經高溫熔融形成的具有不同折射率的高純 SiO2。主流的四種生產工藝中,相對而言 VAD 和 PCVD 技術綜合性價比較高,逐漸成為生產的主流。

而外包層生產工藝中,套管法具有投資規模小、建設週期短、生產工藝簡單、流程短、產品質量高、試用尺寸範圍廣等優點,是最優的生產工藝。

但套管法的核心難點在於石英套管需要具有高純度,否則將影響光纖的折射率,且不能有壁偏,否則影響光纖的同心度,進而影響融接。因製造難度較高,中國光纖預製棒生產企業短期內不得不高價進口,僅 2018 年中國進口光纖波導用石英套管達 20 億元左右;長期內不得不將產能由套管法轉向合成法。

1) 長飛:過去主要產能為 PCVD+RIC,新擴建 VAD+RIC 以及 VAD+OVD;

2) 亨通光電:過去採用 VAD+RIC 套管法,新擴建 VAD+OVD 全合成法;

3) 中天科技:始終採用 VAD+OVD 全合成路線。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

9.2.5. 石英企業有望實現進口替代

目前國內石英企業經過不斷的技術研發,已經開發出光纖用石英套管產品,2018 年 6 月, 石英股份發佈公告稱:公司利用連熔法生產工藝製備出高質量光纖預製棒用石英套管新產品獲得成功,其各項指標參數符合下游光纖客戶需求,已獲得國內光纖生產廠家首批訂單。若國內石英企業可生產出符合標準的石英套管,則有望實現進口替代。

9.3. 光伏:平價時代,單晶需求穩步增長

9.3.1. 坩堝,光伏產業鏈中關鍵元器件

石英制品在光伏產業鏈中的應用主要在於:1、石英坩堝-硅棒/錠生產過程中,多晶硅鑄錠 爐的關鍵元器件,用於盛裝熔融硅並製成後續所需硅錠的一次性消耗品。高純度、高強度的坩堝,不僅可以提高拉晶的一次成品率,也是提高電池片轉換效率的基礎。根據應用產品可分為單晶石英坩堝和多晶陶瓷石英坩堝。2、石英舟、管、瓶、清洗槽等器件,可以有效解決電池片生產過程中的擴散、承載、清洗等需求。

9.3.2. 平價時代,光伏新增裝機 CAGR 7.5

光伏發電在很多國家已成為清潔、低碳、同時具有價格優勢的能源形式。不僅在歐美日等發達地區,在中東、南美等地區國家也快速興起,根據《中國光伏產業發展路線圖》預測, 中性情況下,到 2025 年全球光伏新增裝機將達到 182.5GW,年均複合增速為 7.5 。

其中中國,2018 年受政策影響,國內光伏新增裝機下滑至 44GW,同比下降 17%,但仍居全球首位。未來兩年是進入平價上網時代的關鍵期,企業面臨補貼拖欠、非技術成本居高不下等壓力,但電力改革不斷深入、棄光限電問題逐步改善等推動光伏發電環境不斷優化。預計 2019、2020 年國內新增光伏市場將保持一定規模,且將在資源良好、電價較高地區出現平價項目。“十四五”期間不依賴補貼將使光伏擺脫總量控制束縛,新增裝機市場將穩步上升,中性假設下新增裝機 72.5GW,年均複合增速 7.4 。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

9.3.3. 單晶坩堝穩步增長,多晶坩堝需求下滑

考慮到組件功率、封裝損失率、硅片市佔率結構變化、單位硅棒/錠切割出片量、收料率以及單爐投料量等因素,在中性情況假設下,全球單晶石英坩堝需求量穩步增長,從 2018年的 24.49 萬隻增長到 2025 年的 29.98 萬隻,CAGR2.9%;而多晶石英陶瓷坩堝,因單晶硅片市佔率的提升,需求量下滑,由 2018 年的 35.08 萬隻,下滑至 2025 年的 12.82萬隻,CAGR-13.4%。其中中國單晶石英坩堝需求量由 2018 年的 9.8 萬隻增長至 2025年的 11.91 萬隻,CAGR2.8%;多晶硅石英坩堝需求量由 2018 年的 14.03 萬隻下滑至 5.09萬隻,CAGR-13.5%。

半導體行業新材料深度報告:硅片、光刻膠、靶材、電子特氣等

9.4. 建議關注公司:菲利華、石英股份(略)

……

獲取報告請登陸未來智庫www.vzkoo.com。


分享到:


相關文章: