IC驗證之UVM run

run_test是uvm_test驗證用例的入口函數,說成函數有點不是太準確,確切的說是task,相當於c語言的main函數。在一個uvm驗證環境中,有且只能有一個run_test()。

run_test()是一個全局task,定義在uvm_globals.svh文件中。請看如下源碼。

IC驗證之UVM run_test詳解

如上圖所示,run_test是 uvm_root的一個對象,那我們繼續看一下uvm_root是一個什麼東東,如下圖所示:

IC驗證之UVM run_test詳解

由此可見,uvm_root是從uvm_component繼承而來,本身在添加了自身屬性和方法,從而變成了一高大上的uvm_root,所以不要被外在的紙老虎嚇到,解剖開來,深入內部,只不過是uvm_component的徒子徒孫罷了。

想學習瞭解學習IC驗證的同學,請關注小編,後續文章繼續更新……


分享到:


相關文章: