直擊3nm技術之戰:全球三巨頭嚴陣以待

在半導體制造中,3納米工藝是繼5納米MOSFET技術節點之後的下一個芯片縮小。截至2020年,三星和臺積電已宣佈計劃將3 nm半導體節點投入商業生產。

直擊3nm技術之戰:全球三巨頭嚴陣以待

一:3nm技術的發展歷史與現狀

MOSFET技術最早是在1985年出現的。

1985年,日本電報電話公司(NTT)的研究小組製造了一種MOSFET(NMOS)器件,其溝道長度為150 nm,柵氧化層厚度為2.5 nm。

1998年,美國超微(AMD)研究團隊製造了MOSFET(NMOS)器件,其溝道長度為50 nm,氧化物厚度為1.3 nm。

2003年,NEC的一個研究小組使用PMOS和NMOS工藝製造了第一批溝道長度為3 nm的MOSFET。

2006年,韓國科學技術高等研究院(KAIST)和國家納米晶圓中心的團隊開發了一種3納米寬度的多柵極MOSFET,這是世界上最小的納米電子器件,基於柵極-全方位(GAA FET)技術。

直擊3nm技術之戰:全球三巨頭嚴陣以待

很明顯,在發展的前20多年裡,多柵極MOSFET技術都是作為一種前沿科技在實驗室裡進行研究與演示。之後,隨著半導體先進製程的快速發展與市場需求的驅動下,多柵極MOSFET技術作為3nm先進製程的實現技術,開始走上商業化道路——量產。

2016年底,臺積電宣佈計劃建設一個5 nm至3 nm節點半導體制造廠,投資額約為157億美元。2017年9月29日,臺積電宣佈未來3nm製程晶圓廠,落腳臺灣台南市的南部科學工業園區,並計劃在2023年開始批量生產3 nm工藝節點。

直擊3nm技術之戰:全球三巨頭嚴陣以待

在2018年初,IMEC和Cadence表示他們已經使用極端紫外線光刻(EUV)和193 nm浸沒式光刻技術製作了3 nm測試芯片。

在2019年初,三星提出了計劃在2021年在3納米節點製造3納米GAAFET(全能柵極場效應晶體管)的計劃;三星的半導體路線圖還包括8、7、6、5和4 nm節點的產品。

在2019年12月,英特爾宣佈了2025年3納米生產的計劃。

2020年1月,三星宣佈生產世界上第一個3 nm GAAFET工藝原型,並表示將在2021年實現批量生產。

二:三星先發制人,臺積電神秘前行,英特爾熱衷堆疊晶體管

迄今為止,在3nm製程上,業內只有兩家公司具有此競爭能力,一家是臺積電,另一家是三星。

在先進製程上,臺積電毫無疑問是龍頭。無論是已成熟量產的7nm,還是走向量產的5nm,臺積電都處於全球領先的地位。早在2017年3月,臺積電第一代7nm芯片的良品率就已達到76%,而今,這一數字早已提升至90%以上。5nm方面,在格羅方德苦守12nm、Intel難嚥10nm之時,臺積電的5nm訂單已接到手軟。

而在3nm節點上,臺積電進行了大量投資。2019年,它宣佈已投資195億美元建設3nm工廠,並將於2020年正式開始建設。但是,技術細節仍在保密中。

據悉,2020年臺積電的資本支出為15 ~16億美元,它將把這筆款項的80%用於先進的產能擴展,包括7nm,5nm和3nm。

2020年4月16日,魏哲家表示,臺積電3 納米進度符合預期,研發未受疫情影響,預計 2021 年進入風險性試產,目標 2022 年下半年量產;在評估所有不同的技術選項後,臺積電決定採用 FinFET(鰭式場效電晶體) 架構,可提供更具成本與效能的方案。

臺積電還將在2020年4月舉行一次特別的新聞發佈會,其中將披露3nm工藝的細節。

而相比於臺積電秘密地進行3nm研發,三星顯得高調些。

在2019年的日本SFF會議上,三星宣佈了3nm工藝,將採用GAA環繞柵晶體管技術,而放棄採用FinFET晶體管。與目前的7nm工藝相比,三星3nm工藝可將核心面積減少45%,功耗降低50%,性能提高35%。並將於2021年提供首批樣品,2022年量產。

直擊3nm技術之戰:全球三巨頭嚴陣以待

從三星公佈的3nm工藝細節來看,相較於臺積電,三星要靠譜的多,也一直在3nm上呈現領跑態勢。

三星計劃在2030年之前投資1,160億美元建立半導體生態系統。由於三星在7nm和5nm節點上落後於臺積電,因此三星押注於3nm節點,並希望超越臺積電成為該節點上最大的晶圓代工廠。因此,三星對3nm工藝寄予厚望,並將於2021年實現量產。

除了三星和臺積電,英特爾也發聲加入3nm之戰。

據英特爾未來十年(2019-2029)的製造路線圖顯示:2021年為7nm,2023年為5nm,2025年為3nm,2027年為2nm,2029年為1.4nm。

直擊3nm技術之戰:全球三巨頭嚴陣以待

英特爾2019-2029年製程路線圖 Source: ANANDTECH

從流程路線圖來看,英特爾將按照每兩年一次主要節點更新的節奏進行。而3nm,排到了2025年來實現。

相比於三星、臺積電,英特爾就更是雄心勃勃,各方面消息表明其將在5nm節點直接放棄FinFET晶體管,轉向GAA環繞柵極晶體管。幾年前,英特爾就談到使用2023年以來的第一批GAA晶體管。但具體英特爾能否實現,何時實現,那就是另外一個問題了。

同時,英特爾還致力於堆疊晶體管。在高級封裝領域,英特爾一直處於領先地位。在IEEE IEDM 2019會議上,英特爾介紹了在硅FinFET上堆疊鍺納米帶晶體管及其將取代Foveros的全方向互連的研究。

英特爾高級研究員兼組件研究總監Robert Chau認為,整體縮放和系統縮放是未來十年內將推動摩爾定律的兩個領域。前者是由經典的晶體管縮放和創新驅動的,後者是由封裝和互連的進步驅動的。

三:GAA晶體管 VS FinFET晶體管,誰將是3nm技術路線的主流?

在3nm技術發展過程中,除了廠商激戰,技術路線走向也是眾人關注的焦點之一。未來,是柵極全能晶體管 (GAA),還是改進後的FinFET晶體管,會成為主流的半導體技術呢?

現有半導體制造的主流工藝往往採用“鰭片晶體管”也就是 FinFET 技術進行,它成功地延續了 22nm 以下數代半導體工藝的發展。 FinFET 技術通過將漏極和源極“立起來”,柵極再垂直構造,克服了勢壘隧道效應導致電流洩露的問題。從 22nm 時代開始,FinFET 就成為各家廠商用於縮小晶體管尺寸的法寶。

但是,隨著晶體管尺度向 5nm 甚至 3nm 邁進,FinFET 本身的尺寸已經縮小至極限後,無論是鰭片距離、短溝道效應、還是漏電和材料極限也使得晶體管制造變得岌岌可危,甚至物理結構都無法完成。

因此,FinFET想走到3nm階段,必然是需要改進的。而日前龍頭臺積電已經決定採用 FinFET(鰭式場效電晶體) 架構,說明臺積電已經找到了可行的改進方案。

直擊3nm技術之戰:全球三巨頭嚴陣以待

與此同時,Gate-All-Around 環繞式柵極技術(簡稱為 GAA技術)登場。GAA作為全新的工藝,受到多家半導體制造商的青睞。目前主要的半導體制造商都在開發GAA晶體管。

GAA晶體管是場效應晶體管(FET),其柵極纏繞在超薄溝道的所有四個側面上。這項技術的特點是實現了柵極對溝道的四面包裹,源極和漏極不再和基底接觸,而是利用線狀(可以理解為棍狀)或者平板狀、片狀等多個源極和漏極橫向垂直於柵極分佈後,實現 MOSFET 的基本結構和功能。

直擊3nm技術之戰:全球三巨頭嚴陣以待

這樣設計在很大程度上解決了柵極間距尺寸減小後帶來的各種問題,包括電容效應等,再加上溝道被柵極四面包裹,因此溝道電流也比 FinFET 的三面包裹更為順暢。在應用了 GAA 技術後,業內估計基本上可以解決 3nm 乃至以下尺寸的半導體制造問題。

基於GAA的FET(GAA FET)可以以多種形式存在。

● 比較常見的納米線技術,也就是穿透柵極的鰭片採用圓柱或者方形截面;

● 板片狀結構多路橋接鰭片,穿透柵極的鰭片被設計成水平板狀或者水平橢圓柱狀(長軸和基地平行)截面;

● 六角形截面納米線技術,顧名思義,納米線的截面是六邊形;

● 納米環技術,穿透柵極的鰭片採用環形方案。

這四個主流技術是目前 GAA 研究的主流方向。

基於納米線的GAA FET,通常用於低功耗設計,但製造困難。

而板片狀結構多路橋接鰭片,是從超薄水平面製作通道,這在性能和連續縮放方面具有優勢。三星基於納米片的GAAFET就屬於這類,三星稱之為多橋溝道FET或MBCFET,三星認為 FinFET 在 5nm 和 4nm 工藝節點上都依舊有效,因此在 3nm 時代三星才開始使用新的 MBCFET 技術,它能夠繞過所有當前的物理和電氣障礙。

直擊3nm技術之戰:全球三巨頭嚴陣以待

三星給出的從 2D 晶體管到 GAA 技術,電壓曲線示意圖

MBCFET GAA技術可確保柵極不僅在通道的頂部和側面,而且在通道的下方。這種水平柵環繞結構使GAA設計具有較高的面積效率,因為晶體管是垂直堆疊的,而不是橫向堆疊的。

FinFET設計中的晶體管寬度是固有量化的,而MBCFET GAA則使設計人員可以像傳統的平面體塊技術一樣靈活地選擇晶體管寬度。

通過使用MBCFET,三星希望節省50%的功耗,多30%的功耗和減少45%的芯片空間。另據三星稱,MBCFET應使用與FinFET相同的製造工具,這將使轉換相當容易。

另外,值得一提的是,目前,GAA的成本非常昂貴。

IBS 給出了數據顯示了從 65nm 到 5nm 時代,不同工藝設計芯片的成本情況。其中 28nm 工藝的成本為 0.629 億美元,但到了 5nm 時代,成本將暴增至 4.76 億美元,在 3nm GAA 時代,這個數值將進一步提升。三星宣稱 3nm GAA 技術的成本比 5nm 會上升一些,可能會超過 5 億美元。

直擊3nm技術之戰:全球三巨頭嚴陣以待

不同工藝時代典型的芯片流片的成本圖,可見 28nm 之後成本開始迅速上升

昂貴的價格相對應的是極高的工藝難度。三星給出的有關製造 GAA 晶體管的工藝過程顯示,GAA 的製造和傳統的 FinFET 有一定的相似之處,但是其技術要求更高,難度也更大一些。

如今,3nm的技術路線已經呈現兩個不同的走向。

三星選擇柵極全能晶體管(GAA)來進行3nm製程,但臺積電選擇改進FinFET晶體管,而這兩種技術路線將在將來影響許多高端芯片的選擇。

儘管如今臺積電的3nm沒采用GAA,但主流廠商包括三星、臺積電、英特爾和中芯國際等都對 GAA 技術表示興趣或者已經開始試產,所以相信未來半導體領域肯定有GAA的位置。但GAA 技術究竟是不是 5nm 之後甚至 3nm 和更遠時代的最佳選擇呢?時間會告訴我們。


分享到:


相關文章: